Veröffentlichungen
Unsere Publikationen
Response Range Optimization for Run-Time Requirement Enforcement on MPSoCs
30th Asia and South Pacific Design Automation Conference ASP-DAC 2025 (Tokyo, 20. Januar 2025 - 23. Januar 2025)
BibTeX: Download
, , :
Co-Design of Sustainable Embedded Systems-on-Chip
Design, Automation and Test in Europe Conference 2025 (Lyon, 31. März 2025 - 2. April 2025)
In: Design, Automation and Test in Europe Conference 2025
BibTeX: Download
, , , :
Co-Design of Systems-on-Chip for Sustainability
NG-RES 2025: Sixth Workshop on Next Generation Real-Time Embedded Systems (Barcelona, Spain, 20. Januar 2025 - 22. Januar 2025)
BibTeX: Download
, , , :
Multi-Partner Project: Open-source Design Tools for Co-development of AI Algorithms and AI Chips
Design, Automation and Test in Europe Conference 2025 (Lyon, 31. März 2025 - 2. April 2025)
BibTeX: Download
, , , , , , , :
On the Computation of Robust Examination Timetables: Methods and Experimental Results
In: Journal of Scheduling (2024)
ISSN: 1094-6136
DOI: 10.1007/s10951-024-00815-y
BibTeX: Download
, :
Fused-Layer CNNs for Memory-Efficient Inference on Microcontrollers
Workshop on Machine Learning and Compression @ NeurIPS 2024 (Vancouver Convention Center, 10. Dezember 2024 - 15. Dezember 2024)
Open Access: https://openreview.net/forum?id=2O8qbyxH6X
BibTeX: Download
, , , :
On-Device Training of Fully Quantized Deep Neural Networks on Cortex-M Microcontrollers
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2024)
ISSN: 0278-0070
DOI: 10.1109/TCAD.2024.3484354
URL: https://ieeexplore.ieee.org/document/10726519
BibTeX: Download
, , , :
History-Based Run-Time Requirement Enforcement of Non-Functional Properties on MPSoCs
Fifth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2024) (Munich, 17. Januar 2024 - 19. Januar 2024)
In: Patrick Meumeu Yomsi, Stefan Wildermann (Hrsg.): Fifth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2024), Saarbrücken/Wadern: 2024
DOI: 10.4230/OASIcs.NG-RES.2024.4
URL: https://drops.dagstuhl.de/entities/document/10.4230/OASIcs.NG-RES.2024.4
BibTeX: Download
, :
Range-Based Run-time Requirement Enforcement of Non-Functional Properties on MPSoCs
Design, Automation and Test in Europe Conference (Valencia, 25. März 2024 - 27. März 2024)
URL: https://ieeexplore.ieee.org/document/10546881
BibTeX: Download
, , :
Estimating the Execution Time of CNN Inference on GPUs
27th Workshop on Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV) (Kaiserslautern, 14. Februar 2024 - 15. Februar 2024)
In: Proceedings of the 27th Workshop on Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV) 2024
BibTeX: Download
, , , :
ABACUS: ASIP-based Avro Schema-customizable Parser Acceleration on FPGAs
International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS) (Kielce, 3. April 2024 - 5. April 2024)
In: IEEE Proceedings of the 27th International Symposium on Design and Diagnostics of Electronic Circuits & Systems 2024
DOI: 10.1109/DDECS60919.2024.10508904
BibTeX: Download
, , , :
JSON-CooP: A JSON Decompression/Parsing Co-Design for FPGAs
International Conference on Field-Programmable Logic and Applications (FPL) (Turin, 2. September 2024 - 6. September 2024)
In: IEEE Proceedings of the 34th International Conference on Field-Programmable Logic and Applications 2024
DOI: 10.1109/FPL64840.2024.00012
BibTeX: Download
, , :
Efficient Deployment of Neural Networks for Thermal Monitoring on AURIX TC3xx Microcontrollers
10th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS) (Angers, 2. Mai 2024 - 4. Mai 2024)
DOI: 10.5220/0000186800003702
BibTeX: Download
, , , , :
OpTC – A Toolchain for Deployment of Neural Networks on AURIX TC3xx Microcontrollers
In: The Computing Research Repository (CoRR), 2024
DOI: 10.48550/arXiv.2404.15833
URL: https://arxiv.org/abs/2404.15833
BibTeX: Download
(online publication)
, , , , :
OpTC – A Toolchain for Deployment of Neural Networks on AURIX TC3xx Microcontrollers
2024 Stuttgart International Symposium on Automotive and Engine Technology (Stuttgart, 2. Juli 2024 - 3. Juli 2024)
In: André Casal Kulzer, Hans-Christian Reuss, Andreas Wagner (Hrsg.): Proceeding of the 2024 Stuttgart International Symposium on Automotive and Engine Technology, Wiesbaden: 2024
DOI: 10.1007/978-3-658-45018-2_4
BibTeX: Download
, , , , :
Hardware-Aware Evolutionary Explainable Filter Pruning for Convolutional Neural Networks
In: International Journal of Parallel Programming 52 (2024), S. 40 - 58
ISSN: 0885-7458
DOI: 10.1007/s10766-024-00760-5
BibTeX: Download
, , , , , :
SAS - A Framework for Symmetry-based Approximate Synthesis
Design Automation Conference (San Francisco, 23. Juni 2024 - 27. Juni 2024)
DOI: 10.1145/3649329.3658495
BibTeX: Download
, :
Self-Powering Dataflow Networks – Concepts and Implementation
22nd ACM-IEEE International Symposium on Formal Methods and Models for System Design (MEMOCODE) (Raleigh, NC, 3. Oktober 2024 - 4. Oktober 2024)
In: Proceedings of the 22nd ACM-IEEE International Symposium on Formal Methods and Models for System Design (MEMOCODE) 2024
DOI: 10.1109/MEMOCODE63347.2024.00013
BibTeX: Download
, , , :
Markov Chain-based Optimization Time Analysis of Bivalent Ant Colony Optimization for Sorting and LeadingOnes
Genetic and Evolutionary Computation Conference (GECCO) (Melbourne, 14. Juli 2024 - 18. Juli 2024)
DOI: 10.1145/3638529.3654022
BibTeX: Download
, , :
CRESTS: Chronology-based Reconstruction for Side-Channel Trace Segmentation for XTS-AES on Complex Targets
17th European Workshop on Systems Security (EuroSec) (Athen, 22. April 2024 - 22. April 2024)
In: Association for Computing Machinery (ACM) (Hrsg.): EUROSEC '24: Proceedings of the 17th European Workshop on System Security 2024
DOI: 10.1145/3642974.3652286
BibTeX: Download
, , :
Enforcing Safety Requirements of a Knee Orthosis Using Finite State Machines
Integrated Systems in Medical Technologies (ISMT) (Erlangen, 25. September 2024 - 26. September 2024)
BibTeX: Download
, , , , , :
Techniques for Efficient Performance Analysis and Memory Optimization in Mapping Dataflow Models of Computation onto Embedded Systems (Dissertation, 2024)
DOI: 10.25593/open-fau-1040
URL: https://open.fau.de/handle/openfau/31834
BibTeX: Download
:
Exploring Multi-Reader Buffers and Channel Placement during Dataflow Network Mapping to Heterogeneous Many-core Systems
In: IEEE Access 12 (2024), S. 39748-39769
ISSN: 2169-3536
DOI: 10.1109/ACCESS.2024.3375079
BibTeX: Download
, , :
A novel partition strategy for efficient implementation of 3D Cellular Genetic Algorithms
In: Microprocessors and Microsystems 104 (2024), Art.Nr.: 104986
ISSN: 0141-9331
DOI: 10.1016/j.micpro.2023.104986
BibTeX: Download
, , , , :
Fifth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2024)
2024
(Open Access Series in Informatics (OASIcs), Bd. 117)
ISBN: 978-3-95977-313-3
Open Access: https://www.dagstuhl.de/dagpub/978-3-95977-313-3
URL: https://www.dagstuhl.de/dagpub/978-3-95977-313-3
BibTeX: Download
, (Hrsg.):
Compiler-based Processor Network Generation for Neural Networks on FPGAs
27th Workshop on Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV) (Kaiserslautern, 14. Februar 2024 - 15. Februar 2024)
In: Proceedings of the 27th Workshop on Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV) 2024
BibTeX: Download
, , , :
DSL-based SNN Accelerator Design using Chisel
27th Euromicro Conference Series on Digital System Design (DSD) (Paris, 27. August 2024 - 30. August 2024)
In: 2024 27th Euromicro Conference on Digital System Design (DSD) 2024
BibTeX: Download
, , , :
SNN vs. CNN Implementations on FPGAs: An Empirical Evaluation
20th International Symposium on Applied Reconfigurable Computing. Architectures, Tools, and Applications (ARC) (Aveiro, 20. März 2024 - 22. März 2024)
In: Proceedings of the 20th International Symposium on Applied Reconfigurable Computing. Architectures, Tools, and Applications (ARC) 2024
DOI: 10.1007/978-3-031-55673-9_1
BibTeX: Download
, , , :
Hardware/Software Co-Design of RISC-V Extensions for Accelerating Sparse DNNs on FPGAs
International Conference on Field Programmable Technology (FPT 2024) (Sydney, Australia, 10. Dezember 2024 - 12. Dezember 2024)
BibTeX: Download
, , , , :
Accelerating DNNs using Weight Clustering on RISC-V Custom Functional Units
Conference on Design, Automation and Test in Europe (DATE) (Valencia, 25. März 2024 - 27. März 2024)
In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) 2024
BibTeX: Download
, , , :
The Base Station
In: Weather stations for biodiversity: a comprehensive approach to an automated and modular monitoring system, Advanced Books, 2024, S. 189-203
ISBN: 9786192481230
DOI: 10.3897/ab.e119534
BibTeX: Download
, , :
GRES: Guaranteed Remaining Energy Scheduling of Energy-harvesting Sensors by Quality Adaptation
Cyber-Physical Systems & Internet of Things 2024 (CPS&IoT'2024) (Budva, Montenegro, 11. Juni 2024 - 14. Juni 2024)
In: Proceedings of the 13th Mediterranean Conference on Embedded Computing (MECO) 2024
BibTeX: Download
, , :
A Scenario-Based DVFS-Aware Hybrid Application Mapping Methodology for MPSoCs
In: ACM Transactions on Design Automation of Electronic Systems (2024)
ISSN: 1084-4309
DOI: 10.1145/3660633
BibTeX: Download
, , :
Analysis and Optimization of Block LU Decomposition for Execution on Tightly Coupled Processor Arrays
35th IEEE International Conference on Application-specific Systems, Architectures and Processors (Hong Kong, 24. Juli 2024 - 26. Juli 2024)
In: Proceedings of the IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2024
DOI: 10.1109/ASAP61560.2024.00029
URL: https://ieeexplore.ieee.org/document/10631126
BibTeX: Download
, , , :
ALPACA: An Accelerator Chip for Nested Loop Programs
IEEE International Symposium on Circuits and Systems (ISCAS) (Singapore, 19. Mai 2024 - 22. Mai 2024)
In: Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) 2024
DOI: 10.1109/ISCAS58744.2024.10558549
URL: https://ieeexplore.ieee.org/document/10558549
BibTeX: Download
, , , , , :
Hybrid Cache Design Under Varying Power Supply Stability - A Comparative Study
10th International Symposium on Memory Systems (Washington, D.C., 30. September 2024 - 3. Oktober 2024)
In: MEMSYS '24: Proceedings of the International Symposium on Memory Systems 2024
DOI: 10.1145/3695794.3695819
BibTeX: Download
, , :
To Keep or Not to Keep - The Volatility of Replacement Policy Metadata in Hybrid Caches
2nd Workshop on Disruptive Memory Systems (Austin, TX, 3. November 2024 - 3. November 2024)
In: Proceedings of the 2nd Workshop on Disruptive Memory Systems 2024
DOI: 10.1145/3698783.3699381
BibTeX: Download
, , :
Energy-Efficient AI on the Edge
In: Christopher Mutschler, Christian Münzenmayer, Norman Uhlmann, Alexander Martin (Hrsg.): Unlocking Artificial Intelligence: From Theory to Applications, Springer Link, 2024, S. 359 - 380
DOI: 10.1007/978-3-031-64832-8_19
BibTeX: Download
, , , , :
Fifth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2024)
Wadern: 2024
(OpenAccess Series in Informatics (OASIcs), Bd. 117)
ISBN: 9783959773133
DOI: 10.4230/OASIcs.NG-RES.2024.0
BibTeX: Download
, (Hrsg.):
Artificial Intelligence for Molecular Communication
In: it - Information Technology (2023)
ISSN: 1611-2776
DOI: 10.1515/itit-2023-0029
BibTeX: Download
, , :
Special Issue on Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems
In: IEEE Design & Test 40 (2023), S. 5-7
ISSN: 2168-2356
DOI: 10.1109/MDAT.2022.3221909
BibTeX: Download
, , , , :
Reduction of Mobile Device Modem Energy via Adapative and Power-efficient Hybrid Online/Offline Grant Prediction for the Protocol Standards LTE and 5G (Dissertation, 2023)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-233190
BibTeX: Download
:
microYOLO: Towards Single-Shot Object Detection on Microcontrollers
4th Workshop on IoT, Edge, and Mobile for Embedded Machine Learning (ITEM) (Torino, 18. September 2023 - 22. September 2023)
Open Access: https://arxiv.org/abs/2408.15865
BibTeX: Download
, , :
Energy-efficient Deployment of Deep Learning Applications on Cortex-M based Microcontrollers using Deep Compression
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen MBMV’23 (Freiburg, 23. März 2023 - 24. März 2023)
In: VDE (Hrsg.): MBMV 2023; 26th Workshop 2023
Open Access: https://arxiv.org/abs/2205.10369
URL: https://ieeexplore.ieee.org/document/10173060
BibTeX: Download
, , , :
RAVEN: Reinforcement Learning for Generating Verifiable Run-time Requirement Enforcers for MPSoCs
Workshop on Next Generation Real-Time Embedded Systems Co-located with HiPEAC 2023 (Toulouse, 18. Januar 2023 - 18. Januar 2023)
In: Fourth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2023), Dagstuhl, Germany: 2023
DOI: 10.4230/OASIcs.NG-RES.2023.7
URL: https://drops.dagstuhl.de/opus/volltexte/2023/17738
BibTeX: Download
, , , , :
Automatic Synthesis of FSMs for Enforcing Non-Functional Requirements on MPSoCs Using Multi-Objective Evolutionary Algorithms
In: ACM Transactions on Design Automation of Electronic Systems 28 (2023), S. 1-20
ISSN: 1084-4309
DOI: 10.1145/3617832
BibTeX: Download
, , :
An FPGA Avro Parser Generator for Accelerated Data Stream Processing
2nd Workshop on Novel Data Management Ideas on Heterogeneous (Co-)Processors (NoDMC) (Dresden, 6. März 2023 - 10. März 2023)
In: Proceedings of the 2nd Workshop on Novel Data Management Ideas on Heterogeneous (Co-)Processors (NoDMC) 2023
DOI: 10.18420/BTW2023-46
BibTeX: Download
, , , :
SPEAR-JSON: Selective parsing of JSON to enable accelerated stream processing on FPGAs
International Conference on Field-Programmable Logic and Applications (FPL) (Göteborg, 4. September 2023 - 8. September 2023)
In: IEEE Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications 2023
DOI: 10.1109/FPL60245.2023.00034
BibTeX: Download
, , :
Fast Approximate AIG-Based Synthesis
In: Rolf Drechsler, Sebastian Huhn (Hrsg.): Advanced Boolean Techniques, Springer, 2023, S. 17 -- 32
ISBN: 978-3-031-28915-6
DOI: 10.1007/978-3-031-28916-3
BibTeX: Download
, :
Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications
International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES) (HAMBURG, 18. September 2023 - 20. September 2023)
In: Proceedings of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES) 2023
BibTeX: Download
, , , , , , , , , , , , , , , :
Throughput and Memory Optimization for Parallel Implementations of Dataflow Networks using Multi-Reader Buffers
Fourth Workshop on Next Generation Real-Time Embedded Systems (Toulouse, 18. Januar 2023 - 18. Januar 2023)
In: Fourth Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2023), Germany: 2023
DOI: 10.4230/OASIcs.NG-RES.2023.6
URL: https://drops.dagstuhl.de/opus/volltexte/2023/17737/
BibTeX: Download
, , :
To Spike or Not to Spike? A Quantitative Comparison of SNN and CNN FPGA Implementations
(2023)
Open Access: https://arxiv.org/abs/2306.12742
URL: https://arxiv.org/abs/2306.12742
BibTeX: Download
(online publication)
, , , :
Efficient Table-based Function Approximation on FPGAs using Interval Splitting and BRAM Instantiation
In: ACM Transactions on Embedded Computing Systems 22 (2023), S. 1-24
ISSN: 1539-9087
DOI: 10.1145/3580737
BibTeX: Download
, , :
Robust and Tiny Binary Neural Networks using Gradient-based Explainability Methods
EuroMLSys '23: Proceedings of the 3rd Workshop on Machine Learning and Systems (Rome, Italy, 8. Mai 2023 - 8. Mai 2023)
In: Eiko Yoneki, Luigi Nardi (Hrsg.): EuroMLSys '23: Proceedings of the 3rd Workshop on Machine Learning and System, New York(NY) United States: 2023
DOI: 10.1145/3578356.3592595
URL: https://dl.acm.org/doi/10.1145/3578356.3592595
BibTeX: Download
, , , , :
Seque: Lean and Energy-aware Data Management for IoT Gateways
IEEE International Conference on Edge Computing and Communications (EDGE) (Chicago, Illinois USA, 2. Juli 2023 - 8. Juli 2023)
DOI: 10.1109/EDGE60047.2023.00030
BibTeX: Download
, , , :
Hybrid Genetic Reinforcement Learning for Generating Run-Time Requirement Enforcers
21st ACM-IEEE International Symposium on Formal Methods and Models for System Design (MEMOCODE) (Hamburg, 21. September 2023 - 23. September 2023)
In: 2023 21st ACM-IEEE International Symposium on Formal Methods and Models for System Design (MEMOCODE) 2023
DOI: 10.1145/3610579.3611091
BibTeX: Download
, , , , :
A Learning-Based Methodology for Scenario-Aware Mapping of Soft Real-Time Applications onto Heterogeneous MPSoCs
In: ACM Transactions on Design Automation of Electronic Systems 28 (2023), S. 4:1 - 4:40
ISSN: 1084-4309
DOI: 10.1145/3529230
BibTeX: Download
, , :
Automation Approaches for the Application of Side-Channel Analysis in Real-World Scenarios (Dissertation, 2023)
URL: https://open.fau.de/items/e894c57d-0839-4677-8614-ab7ac59edc91
BibTeX: Download
:
Design, Calibration, and Evaluation of Real-Time Waveform Matching on an FPGA-based Digitizer at 10 GS/s
In: ACM Transactions on Reconfigurable Technology and Systems (2023), S. 1-27
ISSN: 1936-7406
DOI: 10.1145/3635719
URL: https://dl.acm.org/doi/10.1145/3635719
BibTeX: Download
, , , , :
Gradient-Free Adversarial Attacks on 3D Point Clouds from LiDAR Sensors
In: Springer International Publishing (Hrsg.): Machine Learning and Optimization Techniques for Automotive Cyber-Physical Systems, 2023, S. 225 - 256
ISBN: 978-3-031-28015-3
DOI: 10.1007/978-3-031-28016-0_7
URL: https://link.springer.com/chapter/10.1007/978-3-031-28016-0_7
BibTeX: Download
, , , :
Declarative Programming Techniques for Hardware Synthesis of Image Processing Applications (Dissertation, 2023)
BibTeX: Download
:
Invasive Computing
FAU University Press, 2022
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , :
Characterisation and Analysis of Invasive Algorithmic Patterns
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 97-122
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , , , , :
Deep Learning to Demodulate Transmissions in Molecular Communication
6th Workshop on Molecular Communications (Warwick, 13. Juli 2022 - 15. Juli 2022)
In: Proceedings of the 6th Workshop on Molecular Communications 2022
DOI: 10.1109/ismict56646.2022.9828263
URL: https://molecularcommunications.org/wp-content/uploads/2022/07/Deep-Learning-to-Demodulate-Transmission-in-Molecular-Communication.pdf
BibTeX: Download
, , , :
Using Deep Learning to Demodulate Transmissions in Molecular Communication
2022 IEEE 16th International Symposium on Medical Information and Communication Technology (ISMICT) (Online, 2. Mai 2022 - 4. Mai 2022)
DOI: 10.1109/ISMICT56646.2022.9828263
URL: https://ieeexplore.ieee.org/document/9828263
BibTeX: Download
, , , :
Near-Data Query Processing on Heterogeneous FPGA-based Systems (Dissertation, 2022)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-189289
BibTeX: Download
:
Validation and Demonstrator
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 411-431
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , , :
Grant Prediction-based Dynamic Power Management for 5G to Reduce Mobile Device Energy Consumption
International Wireless Communications and Mobile Computing Conference (IWCMC 2022) (Dubrovnik)
DOI: 10.1109/iwcmc55113.2022.9824349
BibTeX: Download
, , , :
FPGA/GPU-based Acceleration for Frequent Itemsets Mining: A Comprehensive Review
In: ACM Computing Surveys 54 (2022)
ISSN: 0360-0300
DOI: 10.1145/3472289
BibTeX: Download
, , , , , :
On the Approximation of Arithmetic Functions and Logic Snythesis of Approximate Very Large Boolean Networks (Dissertation, 2022)
URL: https://opus4.kobv.de/opus4-fau/files/20100/DissertationJorgeEchavarria.pdf
BibTeX: Download
:
Probability-based DSE of Approximated LUT-based FPGA Designs
15th IEEE Dallas Circuits and Systems Conference (Dallas, 17. Juni 2022 - 19. Juni 2022)
DOI: 10.1109/dcas53974.2022.9845591
BibTeX: Download
, , :
Design and Error Analysis of Accuracy-configurable Sequential Multipliers via Segmented Carry Chains
In: it - Information Technology (2022)
ISSN: 1611-2776
DOI: 10.1515/itit-2021-0040
BibTeX: Download
, , , , , :
Multi-requirement Enforcement of Non-Functional Properties on MPSoCs Using Enforcement FSMs - A Case Study
Workshop on Next Generation Real-Time Embedded Systems (NG-RES) (Budapest, 22. Juni 2022 - 22. Juni 2022)
In: Third Workshop on Next Generation Real-Time Embedded Systems (NG-RES 2022), Dagstuhl, Germany: 2022
DOI: 10.4230/OASIcs.NG-RES.2022.2
URL: https://drops.dagstuhl.de/opus/volltexte/2022/16110/
BibTeX: Download
, , :
Towards Clause Learning a la Carte through VarMonads
International Symposium on Logic-based Program Synthesis and Transformation (Tbilisi, 21. September 2022 - 23. September 2022)
BibTeX: Download
, :
Raw Filtering of JSON data on FPGAs
Design, Automation and Test in Europe Conference (DATE) (Antwerpen, 14. März 2022 - 23. März 2022)
In: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe 2022
DOI: 10.23919/DATE54114.2022.9774696
BibTeX: Download
, , , :
Auto-Tuning of Raw Filters for FPGAs
International Conference on Field-Programmable Logic and Applications (FPL) (Belfast, United Kingdom, 29. August 2022 - 2. September 2022)
In: IEEE Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications 2022
DOI: 10.1109/FPL57034.2022.00036
BibTeX: Download
, , :
Special Issue on Applied Reconfigurable Computing
In: Journal of Signal Processing Systems (2022)
ISSN: 1939-8018
DOI: 10.1007/s11265-022-01806-y
BibTeX: Download
, :
Hardware-Aware Evolutionary Filter Pruning
International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XXII) (Pythagoreio, Samos, 3. Juli 2022 - 7. Juli 2022)
DOI: 10.1007/978-3-031-15074-6_18
BibTeX: Download
, , , , :
Fast Approximate AIG-Based Synthesis
International Workshop on Boolean Problems (Bremen, 22. September 2022 - 23. September 2022)
BibTeX: Download
, :
Approximate Computing
In: it - Information Technology (2022)
ISSN: 1611-2776
DOI: 10.1515/itit-2022-0027
BibTeX: Download
:
BDD-based Error Metric Analysis, Computation and Optimization
In: IEEE Access 10 (2022), S. 14013 - 14028
ISSN: 2169-3536
DOI: 10.1109/ACCESS.2022.3140557
URL: https://ieeexplore.ieee.org/abstract/document/9669272
BibTeX: Download
:
Precision- and Accuracy-Reconfigurable Processor Architectures—An Overview
In: IEEE Transactions on Circuits and Systems II: Express Briefs 69 (2022), S. 2661 - 2666
ISSN: 1057-7130
DOI: 10.1109/TCSII.2022.3173753
BibTeX: Download
:
MOSP: Multi-Objective Sensitivity Pruning of Deep Neural Networks
The 13th International Green and Sustainable Computing Conference (IGSC) (Virtual, 24. Oktober 2022 - 27. Oktober 2022)
In: IEEE (Hrsg.): 2022 IEEE 13th International Green and Sustainable Computing Conference (IGSC), Pittsburgh, PA, USA: 2022
DOI: 10.1109/IGSC55832.2022.9969363
URL: https://ieeexplore.ieee.org/document/9969374
BibTeX: Download
, , , :
TRAC: Compilation-based Design of Transformer Accelerators for FPGAs
International Conference on Field Programmable Logic and Applications (FPL) (Belfast, United Kingdom, 29. August 2022 - 2. September 2022)
In: IEEE Proceedings of the 32nd International Conference on Field Programmable Logic and Applications 2022
DOI: 10.1109/FPL57034.2022.00015
BibTeX: Download
, , :
Task Migration Policy for Thermal-Aware Dynamic Performance Optimization in Many-Core Systems
In: IEEE Access (2022)
ISSN: 2169-3536
DOI: 10.1109/ACCESS.2022.3162617
BibTeX: Download
, , , , :
DyFiP: Explainable AI-based Dynamic Filter Pruning of Convolutional Neural Networks
2nd European Workshop on Machine Learning and Systems (EuroMLSys) (Rennes, France, 5. April 2022 - 8. April 2022)
In: Proceedings of the 2nd European Workshop on Machine Learning and Systems (EuroMLSys), New York, NY, United States: 2022
DOI: 10.1145/3517207.3526982
BibTeX: Download
, , :
SIDAM: A Design Space Exploration Framework for Multi-Sensor Embedded Systems Powered by Energy Harvesting
International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XXII) (Pythagoreio, Samos, 3. Juli 2022 - 7. Juli 2022)
In: Springer, Cham (Hrsg.): International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XXII), Switzerland: 2022
DOI: 10.1007/978-3-031-15074-6
BibTeX: Download
, , , :
Compilation and Code Generation for Invasive Programs
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 309-333
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , :
DSP-Packing: Squeezing Low-precision Arithmetic into FPGA DSP Blocks
International Conference on Field Programmable Logic and Applications (FPL) (Belfast, United Kingdom, 29. August 2022 - 2. September 2022)
In: IEEE Proceedings of the 32nd International Conference on Field Programmable Logic and Applications 2022
DOI: 10.1109/FPL57034.2022.00035
BibTeX: Download
, , , :
Efficient Hardware Acceleration of Sparsely Active Convolutional Spiking Neural Networks
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 (2022), S. 3767 - 3778
ISSN: 0278-0070
DOI: 10.1109/TCAD.2022.3197512
BibTeX: Download
, , , :
Efficient Hardware Acceleration of Sparsely Active Convolutional Spiking Neural Networks
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (Shanghai, 7. Oktober 2022 - 14. Oktober 2022)
DOI: 10.1109/tcad.2022.3197512
BibTeX: Download
, , , :
On Transferring Application Mapping Knowledge Between Differing MPSoC Architectures
CODES+ISSS 2022 (Shanghai, 10. Oktober 2022 - 12. Oktober 2022)
In: CODES+ISSS 2022 2022
DOI: 10.1109/TCAD.2022.3197527
BibTeX: Download
, , :
Techniques to Secure HW/SW-Programmable SoC Architectures for Edge Computing (Dissertation, 2022)
URL: https://opus4.kobv.de/opus4-fau/files/21375/dissertation_streit_final.pdf
BibTeX: Download
:
Invasive Tightly-Coupled Processor Arrays
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 177-202
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , , :
Basics of Invasive Computing
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 69-95
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , , , , :
Introduction to Invasive Computing
In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Hrsg.): Invasive Computing, FAU University Press, 2022, S. 1-66
ISBN: 978-3-96147-571-1
DOI: 10.25593/978-3-96147-571-1
BibTeX: Download
, , :
Semi-Automatic Locating of Cryptographic Operations in Side-Channel Traces
CHES 2022 (Leuven, Belgium, 18. September 2022 - 21. September 2022)
In: IACR Transactions on Cryptographic Hardware and Embedded Systems (TCHES) 2022
DOI: 10.46586/tches.v2022.i1.345-366
BibTeX: Download
, , , , , , :
Real-Time Waveform Matching with a Digitizer at 10 GS/s
International Conference on Field Programmable Logic and Applications (FPL) (Belfast, United Kingdom, 29. August 2022 - 2. September 2022)
In: IEEE Proceedings of the 32nd International Conference on Field Programmable Logic and Applications 2022
DOI: 10.1109/FPL57034.2022.00025
URL: https://ieeexplore.ieee.org/document/10035208
BibTeX: Download
, , , , :
Putting IMT to the Test: Revisiting and Expanding Interval Matching Techniques and their Calibration for SCA
ASHES 2022 (Los Angeles, CA, USA, 11. November 2022 - 11. November 2022)
In: Association for Computing Machinery (Hrsg.): Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security 2022
DOI: 10.1145/3560834.3563828
URL: https://dl.acm.org/doi/10.1145/3560834.3563828
BibTeX: Download
, , , , :
Characterization of Side Channels on FPGA-based Off-The-Shelf Boards against Automated Attacks
30th IEEE International Symposium on Field-Programmable Custom Computing Machines (New York City, 15. Mai 2022 - 18. Mai 2022)
In: 30th IEEE International Symposium on Field-Programmable Custom Computing Machines 2022
DOI: 10.1109/FCCM53951.2022.9786190
BibTeX: Download
, , :
Towards a multisensor station for automated biodiversity monitoring
In: Basic and Applied Ecology 59 (2022), S. 105-138
ISSN: 1439-1791
DOI: 10.1016/j.baae.2022.01.003
BibTeX: Download
, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , :
Supervised Learning Grant Prediction for Cellular Mobile Device Power Savings (Dissertation, 2021)
BibTeX: Download
:
HighPerMeshes -- A Domain-Specific Language for Numerical Algorithms on Unstructured Grids
18th International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogeneous Platforms (HeteroPar) (Warsaw, 24. August 2020 - 24. August 2020)
In: Proceedings of the 18th International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogeneous Platforms (HeteroPar) in Euro-Par 2020: Parallel Processing Workshops 2021
DOI: 10.1007/978-3-030-71593-9_15
BibTeX: Download
, , , , , , , , , , , , , :
The HighPerMeshes Framework for Numerical Algorithms on Unstructured Grids
In: Concurrency and Computation-Practice & Experience (2021)
ISSN: 1532-0626
DOI: 10.1002/cpe.6616
BibTeX: Download
, , , , , , , , , , , , , :
Speculative Dynamic Reconfiguration and Table Prefetching Using Query Look-Ahead in the ReProVide Near-Data-Processing System
In: Datenbank-Spektrum (2021)
ISSN: 1618-2162
DOI: 10.1007/s13222-020-00363-7
URL: https://rd.springer.com/article/10.1007/s13222-020-00363-7
BibTeX: Download
, , , , :
Emerging Computing Devices: Challenges and Opportunities for Test and Reliability*
IEEE European Test Symposium (ETS) (Virtual Conference, 24. Mai 2021 - 28. Mai 2021)
In: Proceedings of the 26th IEEE European Test Symposium (ETS) 2021
DOI: 10.1109/ETS50041.2021.9465409
BibTeX: Download
, , , , , , , , , , , :
Adaptive Predictive Power Management for Mobile LTE Devices
In: IEEE Transactions on Mobile Computing 20 (2021), S. 2518-2535
ISSN: 1536-1233
DOI: 10.1109/TMC.2020.2988651
BibTeX: Download
, , , , , :
Simulating Realistic IoT Network Traffic Using Similarity-based DSE
International Conference on Computational Science and Computational Intelligence (CSCI) (Las Vegas, NV)
In: 2021 International Conference on Computational Science and Computational Intelligence (CSCI), New York: 2021
DOI: 10.1109/CSCI54926.2021.00276
BibTeX: Download
, , , :
Multi-Step Ahead Grant Prediction for Dynamic Power Management in Cellular Modems
2021 International Symposium on Networks, Computers and Communications (ISNCC 2021) (Canadian University, Citywalk, Dubai, 31. Oktober 2021 - 2. November 2021)
In: IEEE (Hrsg.): Proceedings of the 2021 International Symposium on Networks, Computers and Communications (ISNCC 2021) 2021
DOI: 10.1109/ISNCC52172.2021.9615819
BibTeX: Download
, , , :
Applied Reconfigurable Computing. Architectures, Tools, and Applications
17th International Symposium on Applied Reconfigurable Computing 2021 (Virtual Event, 29. Juni 2021 - 30. Juni 2021)
In: Applied Reconfigurable Computing. Architectures, Tools, and Applications 2021
DOI: 10.1007/978-3-030-79025-7
BibTeX: Download
, , , :
On the Approximation of Accuracy-configurable Sequential Multipliers via Segmented Carry Chains
(2021)
URL: http://arxiv.org/abs/2105.05588
BibTeX: Download
(online publication)
, , , , , :
Approximate Logic Synthesis of Very Large Boolean Networks
Design, Automation and Test in Europe, DATE 2021, February 1-5, 2021 (Alpexpo, Grenoble, 1. Februar 2021 - 5. Februar 2021)
In: Design, Automation and Test in Europe, DATE 2021 2021
DOI: 10.23919/date51398.2021.9473952
BibTeX: Download
, , :
A Comparative Evaluation of Latency-Aware Energy Optimization Approaches in Many-Core Systems
Workshop on Next Generation Real-Time Embedded Systems (NG-RES) (Budapest, 20. Januar 2021 - 20. Januar 2021)
In: Proceedings of the Workshop on Next Generation Real-Time Embedded Systems (NG-RES), OASICS Vol. 87 2021
DOI: 10.4230/OASIcs.NG-RES.2021.1
URL: https://drops.dagstuhl.de/opus/volltexte/2021/13477
BibTeX: Download
, , :
Enforcement FSMs - Specification and Verification of Non-Functional Properties of Program Executions on MPSoCs
19th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE'21) (Beijing, 20. November 2021 - 22. November 2021)
In: Proceedings of the 19th ACM-IEEE International Conference on Formal Methods and Models for System Design, New York, NY, USA: 2021
DOI: 10.1145/3487212.3487348
BibTeX: Download
, , :
Efficient Application of Tensor Core Units for Convolving Images
24th International Workshop on Software and Compilers for Embedded Systems (Eindhoven (NL), 1. November 2021 - 2. November 2021)
In: Proceedings of the 24th International Workshop on Software and Compilers for Embedded Systems 2021
DOI: 10.1145/3493229.3493305
BibTeX: Download
, , :
Extensive resilience analysis of function models of complex systems
Resilience Week (Washington, DC, 18. Oktober 2021 - 21. Oktober 2021)
DOI: 10.1109/rws52686.2021.9611802
BibTeX: Download
, , :
Introduction to the Special Issue on Application-Specific Systems, Architectures and Processors
In: Journal of Signal Processing Systems (2021)
ISSN: 1939-8018
DOI: 10.1007/s11265-021-01708-5
BibTeX: Download
, :
Proceedings of the DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures (SLOHA 2021)
2021
Open Access: http://arxiv.org/html/2102.00818
URL: http://arxiv.org/abs/2102.00818
BibTeX: Download
, , , (Hrsg.):
Open Source Hardware
In: IEEE Computer 54 (2021), S. 111-115
ISSN: 0018-9162
DOI: 10.1109/MC.2021.3099046
BibTeX: Download
, :
Hand Sign Recognition via Deep Learning on Tightly Coupled Processor Arrays
31st International Conference on Field Programmable Logic and Applications (FPL) (Virtual Conference, 30. August 2021 - 3. September 2021)
In: Proceedings of the 31st International Conference on Field Programmable Logic and Applications (FPL) 2021
DOI: 10.1109/FPL53798.2021.00079
BibTeX: Download
, , , , :
Aarith: An Arbitrary Precision Number Library
ACM/SIGAPP Symposium On Applied Computing (virtual conference, 22. März 2021 - 26. März 2021)
DOI: 10.1145/3412841.3442085
BibTeX: Download
, , , , :
Approximate Computing Extensions for the Clash HDL Compiler
Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (virtuelle Konferenz, 18. März 2021 - 19. März 2021)
BibTeX: Download
, :
Exact Physical Design of Quantum Circuits for Ion-Trap-based Quantum Architectures
Design, Automation and Test in Europe (virtual conference, 1. Februar 2021 - 5. November 2020)
DOI: 10.23919/date51398.2021.9474188
URL: https://www.date-conference.com/
BibTeX: Download
, , :
Efficient Computation of Probabilistic Dominance in Multi-objective Optimization
In: ACM Transactions on Evolutionary Learning and Optimization 1 (2021), S. 1-26
ISSN: 2688-299X
DOI: 10.1145/3469801
BibTeX: Download
, , :
Decision Tree-based Throughput Estimation to Accelerate Design Space Exploration for Multi-Core Applications
24. Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (München, 18. März 2021 - 19. März 2021)
BibTeX: Download
, , :
Spatiotemporal modeling of first and second wave outbreak dynamics of COVID‐19 in Germany
In: Biomechanics and Modeling in Mechanobiology (2021)
ISSN: 1617-7959
DOI: 10.1007/s10237-021-01520-x
BibTeX: Download
, , , , , , , , :
Efficient One-Pass Synthesis for Digital Microfluidic Biochips
In: ACM Transactions on Design Automation of Electronic Systems (2021)
ISSN: 1084-4309
DOI: 10.1145/3446880
BibTeX: Download
, , :
Heuristische Algorithmen zur automatischen Generierung von prozesszeitoptimierten Roboterprogrammen im Bereich von Multi-Robotersystemen (Dissertation, 2021)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-159014
BibTeX: Download
:
Exact Markov chain-based runtime analysis of a discrete particle swarm optimization algorithm on sorting and OneMax
In: Natural Computing (2021)
ISSN: 1567-7818
DOI: 10.1007/s11047-021-09856-0
BibTeX: Download
, , , :
A Safari through FPGA-based Neural Network Compilation and Design Automation Flows
29th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM) (Virtual Conference, 9. Mai 2021 - 12. Mai 2021)
In: Proceedings of the 29th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM) 2021
DOI: 10.1109/FCCM51124.2021.00010
URL: https://ieeexplore.ieee.org/document/9444092
BibTeX: Download
, , , , :
System-Level Mapping, Analysis, and Management of Real-Time Applications in Many-Core Systems (Dissertation, 2021)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-178822
BibTeX: Download
:
System-Level Optimization and Code Generation for Graphics Processors using a Domain-Specific Language (Dissertation, 2021)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-179475
BibTeX: Download
:
An Efficient Approach for Image Border Handling on GPUs via Iteration Space Partitioning
2021 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW) (Portland, OR, 17. Mai 2021 - 21. Mai 2021)
In: Proceedings of the 2021 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW) 2021
DOI: 10.1109/IPDPSW52791.2021.00067
BibTeX: Download
, , :
Fault-Tolerant Low-Precision DNNs using Explainable AI
Workshop on Dependable and Secure Machine Learning (DSML) (Virtual Workshop, 21. Juni 2021 - 24. Juni 2021)
In: 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W) 2021
DOI: 10.1109/DSN-W52860.2021.00036
URL: https://ieeexplore.ieee.org/document/9502445/
BibTeX: Download
, , :
CORSICA: A Framework for Conducting Real-World Side-Channel Analysis
In: IEEE (Hrsg.): 2nd IFIP NTMS Workshop on CyberSecurity on Hardware 2021 (CyberSECHARD'21), 2021, S. 1-5
DOI: 10.1109/NTMS49979.2021.9432644
BibTeX: Download
, , :
Design Space Exploration of Time, Energy, and Error Rate Trade-offs for CNNs using Accuracy-Programmable Instruction Set Processors
2nd International Workshop on IoT, Edge, and Mobile for Embedded Machine Learning (ITEM) (Virtual Event, 13. September 2021 - 17. September 2021)
In: Springer, Cham (Hrsg.): Joint European Conference on Machine Learning and Principles and Practice of Knowledge Discovery in Databases (ECML PKDD 2021), Switzerland: 2021
DOI: 10.1007/978-3-030-93736-2_29
BibTeX: Download
, , , , :
Towards an Autonomous, Power-Efficient Base Station for Sensor Data Collection
2nd IEEE International Conference on Autonomic Computing and Self-Organizing Systems, ACSOS 2021
In: 2nd IEEE International Conference on Autonomic Computing and Self-Organizing Systems Companion, ACSOS-C 2021 2021
DOI: 10.1109/ACSOS-C52956.2021.00083
BibTeX: Download
:
Apollo: Modular and Distributed Runtime System for Serverless Function Compositions on Cloud, Edge, and IoT Resources
1st Workshop on High Performance Serverless Computing, HiPS 2021 - Co-located with HPDC 2021 (Virtual, Online, SWE, 25. Juni 2021)
In: HiPS 2021 - Proceedings of the 1st Workshop on High Performance Serverless Computing, co-located with HPDC 2021 2021
DOI: 10.1145/3452413.3464793
BibTeX: Download
, , :
Efficient Symbolic Routing Encoding for In-vehicle Network Optimization
In: Smart Cities, Green Technologies and Intelligent Transport Systems, Springer, 2021, S. 173 - 199
ISBN: 978-3-030-68028-2
DOI: 10.1007/978-3-030-68028-2_9
URL: https://link.springer.com/chapter/10.1007/978-3-030-68028-2_9
BibTeX: Download
, , , :
Domain-Adaptive Soft Real-Time Hybrid Application Mapping for MPSoCs
3rd ACM/IEEE Workshop on Machine Learning for CAD (MLCAD) (North Carolina State University, Raleigh, NC, USA & Online, 31. August 2021 - 2. September 2021)
In: 3rd ACM/IEEE Workshop on Machine Learning for CAD (MLCAD) 2021
DOI: 10.1109/MLCAD52597.2021.9531269
BibTeX: Download
, , :
CHOICE – A Tunable PUF-Design for FPGAs
International Conference on Field-Programmable Logic and Applications (FPL) (Dresden, Germany, 30. August 2021 - 3. September 2021)
In: IEEE Proceedings of the 31th International Conference on Field Programmable Logic and Applications 2021
DOI: 10.1109/FPL53798.2021.00015
BibTeX: Download
, , , , , :
Design and Evaluation of a Tunable PUF Architecture for FPGAs
In: ACM Transactions on Reconfigurable Technology and Systems 15 (2021), S. 1-27
ISSN: 1936-7406
DOI: 10.1145/3491237
BibTeX: Download
, , , , :
Design and Evaluation of a Tunable PUF Architecture for FPGAs
International Conference on Field-Programmable Technology (FPT) (Auckland, New Zealand, 6. Dezember 2021 - 10. Dezember 2021)
In: IEEE Proceedings of the 20th International Conference on Field-Programmable Technology 2021
DOI: 10.1109/ICFPT52863.2021
BibTeX: Download
, , , , :
Providing Tamper-Secure SoC Updates through Reconfigurable Hardware
International Symposium on Applied Reconfigurable Computing (ARC) (Rennes, France, 29. Juni 2021 - 1. Juli 2021)
In: Springer Proceedings of the 17th International Symposium on Applied Reconfigurable Computing 2021
DOI: 10.1007/978-3-030-79025-7_17
BibTeX: Download
, , , :
Design Space Exploration of Approximation-Based Quadruple Modular Redundancy Circuits
International Conference On Computer Aided Design (Virtual conference, 1. November 2021 - 4. November 2021)
In: Proceedings of the International Conference on Computer-Aided Design, ICCAD 2021
DOI: 10.1109/iccad51958.2021.9643561
BibTeX: Download
, , , , :
LION: Real-Time I/O Transfer Control for Massively Parallel Processor Arrays
19th ACM-IEEE International Conference on Formal Methods and Models for System Design (Beijing, China, 20. November 2021 - 22. November 2021)
In: Proceedings of the 19th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) 2021
DOI: 10.1145/3487212.3487349
BibTeX: Download
, :
A Compiler for Symbolic Code Generation for Tightly Coupled Processor Arrays (Dissertation, 2021)
URL: https://opus4.kobv.de/opus4-fau/files/17754/MichaelWitteraufDissertation.pdf
BibTeX: Download
:
Symbolic Loop Compilation for Tightly Coupled Processor Arrays
In: ACM Transactions on Embedded Computing Systems (2021)
ISSN: 1539-9087
DOI: 10.1145/3466897
BibTeX: Download
, , , :
HipaccVX: Wedding of OpenVX and DSL-based Code Generation
In: Journal of Real-Time Image Processing 18 (2021), S. 765 - 777
ISSN: 1861-8200
DOI: 10.1007/s11554-020-01015-5
URL: http://link.springer.com/article/10.1007/s11554-020-01015-5
BibTeX: Download
, , , , :
Uncertainty-Aware Compositional System-Level Reliability Analysis
In: Henkel, Jörg, Dutt, Nikil (Hrsg.): Dependable Embedded Systems, 2020
ISBN: 978-3-030-52017-5
DOI: 10.1007/978-3-030-52017-5_19
BibTeX: Download
, , , :
Hardware Implementation of Hyperbolic Tangent Activation Function for Floating Point Formats
24th International Symposium on VLSI Design and Test (VDAT) (Bhubaneswar, 23. Juli 2020 - 25. Juli 2020)
In: Proceedings of the 24th International Symposium on VLSI Design and Test (VDAT) 2020
DOI: 10.1109/VDAT50263.2020.9190305
BibTeX: Download
, , , , , :
Self-adaptive potential-based stopping criteria for Particle Swarm Optimization with forced moves
In: Swarm Intelligence (2020)
ISSN: 1935-3812
DOI: 10.1007/s11721-020-00185-z
BibTeX: Download
, , :
The ReProVide Query-Sequence Optimization in a Hardware-Accelerated DBMS
16th International Workshop on Data Management on New Hardware Held with ACM SIGMOD/PODS 2020 (Portland, Oregon USA, 15. Juni 2020 - 15. Juni 2020)
In: DaMoN '20: Proceedings of the 16th International Workshop on Data Management on New Hardware 2020
DOI: 10.1145/3399666.3399926
BibTeX: Download
, , :
SQL Query Processing Using an Integrated FPGA-based Near-Data Accelerator in ReProVide
23rd International Conference on Extending Database Technology (Copenhagen, 30. März 2020 - 2. April 2020)
In: Proceedings of EDBT 2020
BibTeX: Download
, , , , :
Anytime Floating-Point Addition and Multiplication – Concepts and Implementations
Conference on Application-specific Systems, Architectures and Processors (ASAP 2020) (Manchester, U.K., 6. Juli 2020 - 8. Juli 2020)
In: Proceedings of the 31st IEEE International Conference on Application-specific Systems, Architectures and Processors 2020
DOI: 10.1109/ASAP49362.2020.00034
BibTeX: Download
, , , :
Clustering-Based Scenario-Aware LTE Grant Prediction
IEEE Wireless Communications and Networking Conference (WCNC2020) (Seoul, 25. Mai 2020 - 28. Mai 2020)
In: IEEE (Hrsg.): Proceedings of the 2020 IEEE Wireless Communications and Networking Conference (WCNC) 2020
DOI: 10.1109/WCNC45663.2020.9120789
BibTeX: Download
, , , , :
Probabilistic Error Propagation through Approximated Boolean Networks
57th Annual Design Automation Conference (DAC) (San Francisco, CA, 19. Juli 2020 - 23. Juli 2020)
In: Proceedings of the 57th Annual Design Automation Conference 2020
DOI: 10.1109/dac18072.2020.9218536
BibTeX: Download
, , , :
An Approximate Sequential Multiplier with Segmented Carry Chain and Variable Accuracy
AxC20: 5th Workshop on Approximate Computing (San Francisco, CA, 19. Juli 2020 - 24. Juli 2020)
BibTeX: Download
, , , :
Performance Modeling and Parallelization of Environment Perception and Path Planning Algorithms for Heterogeneous Advanced Driver Assistance System Architectures (Dissertation, 2020)
BibTeX: Download
:
A Runtime System for Finite Element Methods in a Partitioned Global Address Space
ACM International Conference on Computing Frontiers 2020 (Catania, Sicily, Italy, 11. Mai 2020 - 13. Mai 2020)
In: Proceedings of the 17th ACM International Conference on Computing Frontiers (CF) 2020
DOI: 10.1145/3387902.3392628
BibTeX: Download
, , , :
Proceedings of the 31st IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP)
2020
ISBN: 978-1-7281-7147-0
DOI: 10.1109/ASAP49362.2020
BibTeX: Download
, , , (Hrsg.):
Design Space Exploration for Layer-parallel Execution of Convolutional Neural Networks on CGRAs
International Workshop on Software and Compilers for Embedded Systems (SCOPES) (St. Goar, 25. Mai 2020 - 26. Mai 2020)
In: Proceedings of the 23rd International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2020
DOI: 10.1145/3378678.3391878
BibTeX: Download
, , :
RAP Model - Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience
In: Henkel, Jörg, Dutt, Nikil (Hrsg.): Dependable Embedded Systems, 2020
ISBN: 978-3-030-52017-5
DOI: 10.1007/978-3-030-52017-5_1
BibTeX: Download
, , , , , , , , , , , , , , , :
Memory-based meso-scale modeling of Covid-19
In: Computational Mechanics (2020)
ISSN: 0178-7675
DOI: 10.1007/s00466-020-01883-5
BibTeX: Download
, , , , , , , :
(Semi)Automatic Translation of Legal Regulations to Formal Representations: Expanding the Horizon of EDA Applications
In: Mathias Soeken, Rolf Drechsler (Hrsg.): Natural Language Processing for Electronic Design Automation, Springer, 2020
ISBN: 978-3-030-52271-1
DOI: 10.1007/978-3-030-52273-5
BibTeX: Download
, , , , :
Error Analysis for Loop Programs using Anytime Instructions in Approximate Computing
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Stuttgart, 19. März 2020 - 20. März 2020)
BibTeX: Download
, , , :
Improving SAT Solving Using Monte Carlo Tree Search-based Clause Learning
In: Rolf Drechsler, Mathias Soeken (Hrsg.): Advanced Boolean Techniques - Selected Papers from the 13th International Workshop on Boolean Problems, Springer International Publishing, 2020
ISBN: 978-3-030-20322-1
DOI: 10.1007/978-3-030-20323-8
URL: https://www.springerprofessional.de/advanced-boolean-techniques/16907760
BibTeX: Download
, , , :
One-pass Synthesis for Digital Microfluidic Biochips: A Survey
International Symposium on Devices, Circuits and Systems (Indian Institute of Engineering Science and Technology, Shibpur, Kolkata, 4. März 2020 - 6. März 2020)
DOI: 10.1109/ISDCS49393.2020.9263007
BibTeX: Download
, , :
ExaStencils: Advanced multigrid solver generation
In: Hans-Joachim Bungartz, Severin Reiz, Benjamin Uekermann, Philipp Neumann, Wolfgang E. Nagel (Hrsg.): Lecture notes in computational science and engineering, Cham: Springer, 2020, S. 405-452 (Software for Exascale Computing SPPEXA 2016 – 2019, Bd.136)
ISBN: 978-3-030-47955-8
DOI: 10.1007/978-3-030-47956-5
URL: https://library.oapen.org/bitstream/handle/20.500.12657/41289/2020_Book_SoftwareForExascaleComputing-S.pdf?sequence=1#page=411
BibTeX: Download
, , , , , , , , , , , , , , , , :
ExaStencils – Advanced Multigrid Solver Generation
In: Hans-Joachim Bungartz, Severin Reiz, Philipp Neumann, Benjamin Uekermann, Wolfgang Nagel (Hrsg.): Software for Exascale Computing – SPPEXA 2016-2019, Springer, 2020, S. 405-452 (Lecture Notes in Computer Science and Engineering, Bd.136)
ISBN: 978-3-030-47955-8
DOI: 10.1007/978-3-030-47956-5_14
URL: https://www12.cs.fau.de/downloads/hannig/publications/ExaStencils_Advanced_Multigrid_Solver_Generation.pdf
BibTeX: Download
, , , , , , , , , , , , , , , , :
On the design of hardware architectures for parallel frequent itemsets mining
In: Expert Systems With Applications 157 (2020)
ISSN: 0957-4174
DOI: 10.1016/j.eswa.2020.113440.
BibTeX: Download
, , , , :
Multi-objective Optimization of Mapping Dataflow Applications to MPSoCs Using a Hybrid Evaluation Combining Analytic Models and Measurements
In: ACM Transactions on Design Automation of Electronic Systems 26 (2020), S. 1–33
ISSN: 1084-4309
DOI: 10.1145/3431814
BibTeX: Download
, , , :
Geometric Refactoring of Quantum and Reversible Circuits: Quantum Layout
Euromicro Conference on Digital System Design
DOI: 10.1109/dsd51259.2020.00074
BibTeX: Download
, , , :
Refactoring of Quantum and Reversible Circuits
International Workshop on Quantum Compilation (Cambridge, 23. September 2020 - 24. September 2020)
BibTeX: Download
, , , :
A Bibliometric Approach for Detecting the Gender Gap in Computer Science
In: Communications of the ACM 63 (2020), S. 39-45
ISSN: 0001-0782
DOI: 10.1145/3376901
BibTeX: Download
, , , , :
Processing Time Optimization for Robot Applications
6th International Conference on Control, Automation and Robotics (ICCAR) (Singapore, 20. April 2020 - 23. April 2020)
In: IEEE (Hrsg.): Proc. 6th International Conference on Control, Automation and Robotics (ICCAR) 2020
DOI: 10.1109/ICCAR49639.2020.9108089
BibTeX: Download
, :
Sample-Based Motion Planning for Multi-Robot Systems
6th International Conference on Control, Automation and Robotics (ICCAR) (Singapore, 20. April 2020 - 23. April 2020)
In: IEEE (Hrsg.): Proc. 6th International Conference on Control, Automation and Robotics (ICCAR) 2020
DOI: 10.1109/ICCAR49639.2020.9108020
BibTeX: Download
, :
Hybrid Application Mapping for Composable Many-Core Systems: Overview and Future Perspective
In: Journal of Low Power Electronics and Applications 10 (2020), S. 1-37
ISSN: 2079-9268
DOI: 10.3390/jlpea10040038
URL: https://www.mdpi.com/892470
BibTeX: Download
, , , , , , , , , , , :
Real-Time Task Migration for Dynamic Resource Management in Many-Core Systems
Workshop on Next Generation Real-Time Embedded Systems (NG-RES) (Bologna, 21. Januar 2020)
In: Proceedings of the Workshop on Next Generation Real-Time Embedded Systems (NG-RES) 2020
DOI: 10.4230/OASIcs.NG-RES.2020.5
URL: https://drops.dagstuhl.de/opus/volltexte/2020/11781/
BibTeX: Download
, , , :
System-Level Mapping, Analysis, and Management of Real-Time Applications in Many-Core Systems
PhD Forum at the Design, Automation, and Test in Europe (DATE) Conference and Exhibition (Grenoble, France, 9. März 2020 - 13. März 2020)
URL: https://www12.cs.fau.de/downloads/pourmohseni/pub/phdForumDATE20.pdf
BibTeX: Download
, :
Unveiling Kernel Concurrency in Multiresolution Filters on GPUs with an Image Processing DSL
13th Workshop on General Purpose Processing Using GPU (GPGPU) (San Diego, CA, USA, 23. Februar 2020 - 23. Februar 2020)
In: Proceedings of the 13th Workshop on General Purpose Processing Using GPU (GPGPU) 2020
DOI: 10.1145/3366428.3380773
BibTeX: Download
, , , :
Efficient Parallel Reduction on GPUs with Hipacc
23rd International Workshop on Software and Compilers for Embedded Systems (SCOPES) (Sankt Goar, 25. Mai 2020 - 26. Mai 2020)
In: Proceedings of the 23rd International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2020
DOI: 10.1145/3378678.3391885
BibTeX: Download
, , , , :
The Best of Both Worlds: Combining CUDA Graph with an Image Processing DSL
57th Annual Design Automation Conference (DAC) (San Francisco, CA, 19. Juli 2020 - 23. Juli 2020)
In: Proceedings of the 57th Annual Design Automation Conference (DAC) 2020
DOI: 10.1109/DAC18072.2020.9218531
BibTeX: Download
, , , :
High Precision Particle Swarm Optimization Algorithm (HiPPSO)
In: Journal of Open Research Software 8 (2020), S. 4
ISSN: 2049-9647
DOI: 10.5334/jors.282
URL: https://openresearchsoftware.metajnl.com/articles/10.5334/jors.282/
BibTeX: Download
:
Utilizing Explainable AI for Quantization and Pruning of Deep Neural Networks
(2020)
Open Access: https://arxiv.org/pdf/2008.09072
URL: https://arxiv.org/abs/2008.09072
BibTeX: Download
(online publication)
, , :
System-level Mapping of Dataflow Applications onto MPSoCs (Dissertation, 2020)
BibTeX: Download
:
Energy Minimization in DAG Scheduling on MPSoCs at Run-Time: Theory and Practice
Workshop on Next Generation Real-Time Embedded Systems (Bologna, 21. Januar 2020)
In: Proceedings of the Workshop on Next Generation Real-Time Embedded Systems (NG-RES) 2020
DOI: 10.4230/OASIcs.NG-RES.2020.2
URL: https://drops.dagstuhl.de/opus/volltexte/2020/11778/
BibTeX: Download
, , , :
Using Learning Classifier Systems for the DSE of Adaptive Embedded Systems
Design, Automation and Test in Europe Conference and Exhibition (DATE) (Grenoble)
In: PROCEEDINGS OF THE 2020 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2020), NEW YORK: 2020
DOI: 10.23919/date48585.2020.9116383
BibTeX: Download
, , :
Using Learning Classifier Systems for the DSE of Dynamically Adaptable Embedded Systems
Design, Automation and Test in Europe Conference (Grenoble, 9. März 2020 - 13. März 2020)
BibTeX: Download
, , :
Run-Time Scenario-Based MPSoC Mapping Reconfiguration Using Machine Learning Models
1st ACM/IEEE Workshop on Machine Learning for CAD (MLCAD) (Canmore, Alberta, Canada, 3. September 2019 - 4. September 2019)
In: Post-workshop proceedings of 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD 2020
DOI: 10.1109/MLCAD48534.2019.9142060
BibTeX: Download
, , :
Scenario-Based Soft Real-Time Hybrid Application Mapping for MPSoCs
57th Annual Design Automation Conference (DAC) (San Francisco, 19. Juli 2020 - 23. Juli 2020)
In: Proceedings of the 57th Annual Design Automation Conference (DAC) 2020
DOI: 10.1109/DAC18072.2020.9218537
BibTeX: Download
, , :
Secure Boot from Non-Volatile Memory for Programmable SoC-Architectures
International Symposium on Hardware Oriented Security and Trust (HOST) (San José, USA, 7. Dezember 2020 - 11. Dezember 2020)
In: IEEE Proceedings of the 13th International Symposium on Hardware Oriented Security and Trust 2020
DOI: 10.1109/HOST45689.2020.9300126
BibTeX: Download
, , , , , , , :
Data acquisition and control at the edge: a hardware/software-reconfigurable approach
In: Production Engineering 14 (2020), S. 365-371
ISSN: 0944-6524
DOI: 10.1007/s11740-020-00964-x
BibTeX: Download
, , , , , , , , :
Run-Time Enforcement of Non-functional Program Properties on MPSoCs
In: Jian-Jia Chen (Hrsg.): A Journey of Embedded and Cyber-Physical Systems, Springer, 2020
ISBN: 978-3-030-47487-4
DOI: 10.1007/978-3-030-47487-4
BibTeX: Download
, , , , , :
Run-Time Enforcement of Non-Functional Application Requirements in Heterogeneous Many-Core Systems
Asia and South Pacific Design Automation Conference (ASP-DAC) (China National Convention Center, Beijing, China, 13. Januar 2020 - 16. Januar 2020)
DOI: 10.1109/ASP-DAC47756.2020.9045536
BibTeX: Download
, , , , :
Design Space Exploration of an Approximation-Based Fully Reliable TMR Alternative
8th Prague Embedded Systems Workshop (Horoměřice, 6. November 2020 - 7. November 2020)
Open Access: https://www12.cs.fau.de/downloads/echavarria/pub/Design_Space_Exploration_of_an_Approximation-Based_Fully_Reliable_TMR_Alternative.pdf
BibTeX: Download
, , , , :
Real-time Scheduling of I/O Transfers for Massively Parallel Processor Arrays
18th ACM-IEEE International Conference on Formal Methods and Models for System Design, MEMOCODE 2020 (Jaipur, India, 2. Dezember 2020 - 4. Dezember 2020)
In: Proceedings of the 18th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) 2020
DOI: 10.1109/MEMOCODE51338.2020.9315179
BibTeX: Download
, , :
Exploration of Power Domain Partitioning with Concurrent Task Mapping and Scheduling for Application-specific Multi-core SoCs
33rd International Conference on Architecture of Computing Systems (ARCS) (Aachen, 25. Mai 2020 - 28. Mai 2020)
In: In Proc. of the 33rd International Conference on Architecture of Computing Systems (ARCS) 2020
DOI: 10.1007/978-3-030-52794-5_12
BibTeX: Download
, , , , :
A fast BDD Minimization Framework for Approximate Computing
Design, Automation and Test in Europe (ALPEXPO, Grenoble, France, 9. März 2020 - 13. März 2020)
BibTeX: Download
, :
AnyHLS: High-Level Synthesis with Partial Evaluation
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (Hamburg, 20. September 2020 - 25. September 2020)
DOI: 10.1109/tcad.2020.3012172
URL: https://arxiv.org/pdf/2002.05796.pdf
BibTeX: Download
, , , , , , , :
AnyHLS: High-Level Synthesis with Partial Evaluation
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (2020), S. 3202-3214
ISSN: 0278-0070
DOI: 10.1109/TCAD.2020.3012172
URL: https://arxiv.org/pdf/2002.05796.pdf
BibTeX: Download
, , , , , , , :
Optimizing Exploratory Workflows for Embedded Platform Trace Analysis and its Application to Cellular Modems
21st Int. Conf. on Human-Computer Interaction (Orlando, Florida, USA, 26. Juli 2019 - 31. Juli 2019)
In: HCII 2019 Late Breaking Work Papers Proceedings, New York, NY, USA: 2019
DOI: 10.1007/978-3-030-30033-3_10
BibTeX: Download
, , , , :
Efficient Treatment of Uncertainty in System Reliability Analysis using Importance Measures
Dependable Systems and Networks (DSN) (Portland, Oregon, USA, 24. Juni 2019 - 27. Juni 2019)
In: The 49th IEEE/IFIP International Conference on Dependable Systems and Networks 2019
DOI: 10.1109/dsn.2019.00022
BibTeX: Download
, , :
Theory of particle swarm optimization: A survey of the power of the swarm’s potential
In: it - Information Technology 61 (2019), S. 169–176
ISSN: 1611-2776
DOI: 10.1515/itit-2019-0004
BibTeX: Download
, , :
Robustness Approaches for the Examination Timetabling Problem under Data Uncertainty
9th Multidisciplinary International Conference on Scheduling: Theory and Applications (Ningbo)
In: Ruibin Bai, Zhi-Long Chen, Li Jiawei, Graham Kendall, Barry McCollum (Hrsg.): Proc. 9th Multidisciplinary International Conference on Scheduling: Theory and Applications 2019
Open Access: http://www.schedulingconference.org/proceedings/2019/mista2019.pdf
URL: http://www.schedulingconference.org/proceedings/2019/mista2019.pdf
BibTeX: Download
, :
ReProVide: Towards Utilizing Heterogeneous Partially Reconfigurable Architectures for Near-Memory Data Processing
1st Workshop on Novel Data Management Ideas on Heterogeneous (Co-)Processors (NoDMC) at 18. Fachtagung für "Datenbanksysteme für Business, Technologie und Web" (Universität Rostock, 4. März 2019 - 8. März 2019)
In: Gesellschaft für Informatik, Bonn (Hrsg.): Proceedings of the 1st Workshop on Novel Data Management Ideas on Heterogeneous (Co-)Processors (NoDMC), Bonn: 2019
DOI: 10.18420/btw2019-ws-04
URL: https://dl.gi.de/handle/20.500.12116/21825
BibTeX: Download
, , , :
In situ Statistics Generation within partially reconfigurable Hardware Accelerators for Query Processing
15th International Workshop on Data Management on New Hardware (DaMoN) Held with ACM SIGMOD/PODS 2019 (Amsterdam, 1. Juli 2019 - 1. Juli 2019)
DOI: 10.1145/3329785.3329936
BibTeX: Download
, :
Anytime Instructions for Programmable Accuracy Floating-Point Arithmetic
ACM International Conference on Computing Frontiers 2019 (Alghero, Sardinia, 30. April 2019 - 2. Mai 2019)
DOI: 10.1145/3310273.3322833
BibTeX: Download
, , , :
*-Predictable MPSoC Execution of Real-Time Control Applications Using Invasive Computing
In: Concurrency and Computation-Practice & Experience (2019)
ISSN: 1532-0626
DOI: 10.1002/cpe.5149
BibTeX: Download
, , , , , :
Using hashing and lexicographic order for Frequent Itemsets Mining on data streams
In: Journal of Parallel and Distributed Computing 125 (2019), S. 58-71
ISSN: 0743-7315
DOI: 10.1016/j.jpdc.2018.11.002.
BibTeX: Download
, , , , , :
IP-Cores Watermarking Scheme at Behavioral Level Using Genetic Algorithms
In: Engineering Applications of Artificial Intelligence (2019)
ISSN: 0952-1976
BibTeX: Download
, , , , :
DSL-based Acceleration of Automotive Environment Perception and Mapping Algorithms for embedded CPUs, GPUs, and FPGAs
ARCS 2019 - 32nd International Conference on Architecture of Computing Systems (Copenhagen, 20. Mai 2019 - 23. Mai 2019)
In: Martin Schoeberl, Christian Hochberger, Sascha Uhrig, Jürgen Brehm, Thilo Pionteck (Hrsg.): Proceedings of the 32nd International Conference on Architecture of Computing Systems (ARCS) 2019
DOI: 10.1007/978-3-030-18656-2
BibTeX: Download
, , :
Resource-aware parameter tuning for real-time applications
32nd International Conference on Architecture of Computing Systems, ARCS 2019 (Copenhagen, 20. Mai 2019 - 23. Mai 2019)
In: Martin Schoeberl, Thilo Pionteck, Sascha Uhrig, Jürgen Brehm, Christian Hochberger (Hrsg.): Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) 2019
DOI: 10.1007/978-3-030-18656-2_4
BibTeX: Download
, , :
SYCL Code Generation for Multigrid Methods
22nd International Workshop on Software and Compilers for Embedded Systems (SCOPES '19) (Sankt Goar, Germany, 27. Mai 2019 - 29. Mai 2019)
In: 22nd International Workshop on Software and Compilers for Embedded Systems (SCOPES '19) 2019
DOI: 10.1145/3323439.3323984
BibTeX: Download
, , , :
Efficient Mapping of CNNs onto Tightly Coupled Processor Arrays
In: Journal of Computers 14 (2019), S. 541-556
ISSN: 1796-203X
DOI: 10.17706/jcp.14.8.541-556
BibTeX: Download
, , , :
Three-dimensional localization of bats: visual and acoustical
In: IEEE Sensors Journal (2019), S. 1-1
ISSN: 1530-437X
DOI: 10.1109/JSEN.2019.2907399
BibTeX: Download
, , , , , :
Chatbot-Based Assertion Generation from Natural Language Specifications
Forum on Specification & Design Languages (FDL) (Southampton, 2. September 2019 - 4. September 2019)
DOI: 10.1109/FDL.2019.8876925
BibTeX: Download
, :
System-Level Reliability Analysis and Optimization in the Presence of Uncertainty (Dissertation, 2019)
BibTeX: Download
:
On the Analytic Evaluation of Schedules via Max-Plus Algebra for DSE of Multi-Core Architectures
22nd International Workshop on Software and Compilers for Embedded Systems, (SCOPES) (Sankt Goar, Germany, 27. Mai 2019 - 28. Mai 2019)
In: Proceedings of the 22st International Workshop on Software and Compilers for Embedded Systems, SCOPES 2019, Sankt Goar, Germany 2019
DOI: 10.1145/3323439.3323979
BibTeX: Download
, , , :
Efficient Mapping of Streaming Applications for Image Processing on Graphics Cards
In: Transactions on High-Performance Embedded Architectures and Compilers V, Springer, 2019, S. 1-20 (Lecture Notes in Computer Science (LNCS), Bd.11225)
ISBN: 978-3-662-58833-8
DOI: 10.1007/978-3-662-58834-5_1
BibTeX: Download
, , , :
Runtime analysis of discrete particle swarm optimization algorithms: A survey
In: it - Information Technology 61 (2019), S. 177–185
ISSN: 1611-2776
DOI: 10.1515/itit-2019-0009
BibTeX: Download
, :
Performance Comparison between Machine Learning based LTE Downlink Grant Predictors
21st International Conference on Artificial Intelligence (Las Vegas, 29. Juli 2019 - 1. August 2019)
In: Proceedings of the 21st International Conference on Artificial Intelligence 2019
BibTeX: Download
, , :
Compiler-Based High-Level Synthesis of Application-Specific Processors on FPGAs
International Conference on ReConFigurable Computing and FPGAs (ReConFig) (Cancún, Mexico, 9. Dezember 2019 - 11. Dezember 2019)
In: IEEE Proceedings of the 14th International Conference on ReConFigurable Computing and FPGAs 2019
DOI: 10.1109/ReConFig48160.2019.8994778
BibTeX: Download
, , , , :
Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems
IEEE Real-Time Systems Symposium (RTSS) (Hong Kong, 3. Dezember 2019 - 6. Dezember 2019)
In: Proceedings of the 40th IEEE Real-Time Systems Symposium (RTSS) 2019
DOI: 10.1109/RTSS46320.2019.00029
BibTeX: Download
, , , , , :
Isolation-Aware Timing Analysis and Design Space Exploration for Predictable and Composable Many-Core Systems
Euromicro Conference on Real-Time Systems (ECRTS) (Stuttgart, Germany, 9. Juli 2019 - 12. Juli 2019)
In: Proceedings of the 31th Euromicro Conference on Real-Time Systems (ECRTS) 2019
DOI: 10.4230/LIPIcs.ECRTS.2019.12
URL: http://drops.dagstuhl.de/opus/volltexte/2019/10749
BibTeX: Download
, , , :
Hard Real-Time Application Mapping Reconfiguration for NoC-Based Many-Core Systems
In: Real-Time Systems (2019), S. 1-37
ISSN: 0922-6443
DOI: 10.1007/s11241-019-09326-y
URL: http://link.springer.com/article/10.1007/s11241-019-09326-y
BibTeX: Download
, , , :
From Loop Fusion to Kernel Fusion: A Domain-specific Approach to Locality Optimization
2019 International Symposium on Code Generation and Optimization (CGO) (Washington, DC, USA, 16. Februar 2019 - 20. Februar 2019)
In: Proceedings of the 2019 IEEE/ACM International Symposium on Code Generation and Optimization (CGO) 2019
DOI: 10.1109/CGO.2019.8661176
BibTeX: Download
, , , :
Runtime Analysis of Discrete Particle Swarm Optimization Applied to Shortest Paths Computation
19th European Conference on Evolutionary Computation in Combinatorial Optimisation (EvoCOP) (Leipzig, 24. April 2019 - 26. April 2019)
In: Springer International Publishing (Hrsg.): Evolutionary Computation in Combinatorial Optimization, Cham: 2019
DOI: 10.1007/978-3-030-16711-0_8
BibTeX: Download
, , :
ActorX10 and Run-Time Application Embedding
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 129-164 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_6
BibTeX: Download
, , :
Fundamentals
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 9-40 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_2
BibTeX: Download
, , :
Hybrid Network-on-Chip Simulation
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 77-99 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_4
BibTeX: Download
, , :
Introduction
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 1-7 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_1
BibTeX: Download
, , :
InvadeSIM-A Simulation Framework for Invasive Parallel Programs and Architectures
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 41-76 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_3
BibTeX: Download
, , :
Modeling and Simulation of Invasive Applications and Architectures
Singapore: Springer, 2019
(Computer Architecture and Design Methodologies)
ISBN: 978-981-13-8386-1
DOI: 10.1007/978-981-13-8387-8
BibTeX: Download
, , :
Modeling and Simulation of Invasive Applications and Architectures Conclusions and Future Directions
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 165-168 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_7
BibTeX: Download
, , :
Parallel MPSoC Simulation and Architecture Evaluation
In: Sascha Roloff, Frank Hannig, Jürgen Teich (Hrsg.): Modeling and Simulation of Invasive Applications and Architectures, 2019, S. 101-128 (Computer Architecture and Design Methodologies)
DOI: 10.1007/978-981-13-8387-8_5
BibTeX: Download
, , :
A Domain-Specific Language and Source-to-Source Compilation Framework for Geometric Multigrid Methods (Dissertation, 2019)
BibTeX: Download
:
Compilation of Dataflow Applications for Multi-Cores using Adaptive Multi-Objective Optimization
In: ACM Transactions on Design Automation of Electronic Systems 24 (2019), Art.Nr.: 29
ISSN: 1084-4309
DOI: 10.1145/3310249
BibTeX: Download
, , , , , , :
Design and Evaluation of Ethernet-based E/E-Architectures for Latency- and Safety-critical Applications (Dissertation, 2019)
BibTeX: Download
:
Igor, get me the optimum! Prioritizing important design decisions during the DSE of embedded systems
In: Transactions on Embedded Computing Systems 18 (2019), Art.Nr.: a78
ISSN: 1558-3465
DOI: 10.1145/3358204
BibTeX: Download
, , , :
IGOR, get me the Optimum! Prioritizing Important Design Decisions During the DSE of Embedded Systems
CODES+ISSS: International Conference on Hardware/Software Codesign and System Synthesis (New York, NY, 13. Oktober 2019 - 18. Oktober 2019)
DOI: 10.1145/3358204
BibTeX: Download
, , , :
Variety-Aware Routing Encoding for Efficient Design Space Exploration of Automotive Communication Networks
5th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS) (Heraklion, Kreta, 3. Mai 2019 - 5. Mai 2019)
BibTeX: Download
, , , :
Data-Driven Scenario-based Application Mapping for Heterogeneous Many-Core Systems
IEEE Multicore/Many-core Systems-on-Chip (Singapore, 1. Oktober 2019 - 4. Oktober 2019)
In: Multicore/Many-core Systems-on-Chip (MCSoC 2019) 2019
DOI: 10.1109/MCSoC.2019.00054
BibTeX: Download
, , , , :
Conference Reports: Recap of DATE 2019 in Florence, Italy
In: IEEE Design & Test 36 (2019), S. 59-61
ISSN: 2168-2356
DOI: 10.1109/MDAT.2019.2915112
BibTeX: Download
, :
Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019
2019
ISBN: 978-3-9819263-2-3
DOI: 10.1109/MDAT.2019.2915112
BibTeX: Download
, (Hrsg.):
Self diagnostics and isolation mechanisms for mixed criticality systems
In: Journal of Communications Software and Systems 15 (2019), S. 329-335
ISSN: 1845-6421
DOI: 10.24138/jcomss.v15i4.810
BibTeX: Download
, , , :
Swarm Intelligence
In: it - Information Technology 61 (2019), S. 157-158
ISSN: 1611-2776
DOI: 10.1515/itit-2019-0034
BibTeX: Download
:
Polyhedral Fragments: An Efficient Representation for Symbolically Generating Code for Processor Arrays
International Conference on Formal Methods and Models for System Design (MEMOCODE) (San Diego, 9. Oktober 2019 - 11. Oktober 2019)
In: Proceedings of the International Conference on Formal Methods and Models for System Design (MEMOCODE) 2019
BibTeX: Download
, , :
Detection of Unseen Low-Contrast Signals Using Classic and Novel Model Observers
Workshop on Bildverarbeitung fur die Medizin, 2019 (Lübeck, 17. März 2019 - 19. März 2019)
In: Thomas M. Deserno, Andreas Maier, Christoph Palm, Heinz Handels, Klaus H. Maier-Hein, Thomas Tolxdorff (Hrsg.): Informatik aktuell 2019
DOI: 10.1007/978-3-658-25326-4_47
BibTeX: Download
, , , :
Synthesizing High-Performance Image Processing Applications with Hipacc
Demo at the University Booth at Design, Automation and Test in Europe (DATE) (Florence, 25. März 2019 - 29. März 2019)
URL: https://www12.cs.fau.de/downloads/oezkan/publications/date-ubooth19.pdf
BibTeX: Download
(online publication)
, , , , , :
Solving Maxwell's Equations with Modern C++ and SYCL: A Case Study
The 29th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Politecnico di Milano, Milan, 10. Juli 2018 - 12. Juli 2018)
In: Proceedings of the 29th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2018
DOI: 10.1109/ASAP.2018.8445127
URL: https://www12.cs.fau.de/downloads/schmittch/publications/ASAGTFH18asap.pdf
BibTeX: Download
, , , , , , :
A Predictive Dynamic Power Management for LTE-Advanced Mobile Devices
IEEE Wireless Communications and Networking Conference (Barcelona, Catalonia, Spain, 15. April 2018 - 18. April 2018)
In: IEEE (Hrsg.): 2018 IEEE Wireless Communications and Networking Conference (WCNC'18) 2018
DOI: 10.1109/WCNC.2018.8377189
BibTeX: Download
, , , , , :
Rapid Design of Real-Time Image Fusion on FPGA Using HLS and Other Techniques
15th IEEE/ACS International Conference on Computer Systems and Applications (AICCSA) (Aqaba, 28. Oktober 2018 - 1. November 2018)
In: 2018 IEEE/ACS 15TH INTERNATIONAL CONFERENCE ON COMPUTER SYSTEMS AND APPLICATIONS (AICCSA), NEW YORK: 2018
DOI: 10.1109/aiccsa.2018.8612836
BibTeX: Download
, , , , , , , :
Probabilistic Curriculum-based Examination Timetabling
12th International Conference on the Practice and Theory of Automated Timetabling (PATAT) (Vienna)
In: Edmund K. Burke, Luca Di Gaspero, Barry McCollum, Nysret Musliu, Ender Özcan (Hrsg.): Proc 12th International Conference on the Practice and Theory of Automated Timetabling (PATAT) 2018
Open Access: http://patatconference.org/patat2018/proceedings/
URL: http://patatconference.org/patat2018/files/proceedings/paper41.pdf
BibTeX: Download
, :
Integration of FPGAs in Database Management Systems: Challenges and Opportunities
In: Datenbank-Spektrum (2018)
ISSN: 1618-2162
DOI: 10.1007/s13222-018-0294-9
BibTeX: Download
, , , , , , , , , :
Optimistic Regular Expression Matching on FPGAs for Near-Data Processing
Data Management on New Hardware (DaMoN) (Houston, Texas, 11. Juni 2018 - 11. Juni 2018)
DOI: 10.1145/3211922.3211926
BibTeX: Download
, , :
Reinforcement Learning for Power-Efficient Grant Prediction in LTE
(2018), S. 18-26
DOI: 10.1145/3207719.3207722
BibTeX: Download
, , , , , :
Can Approximate Computing Reduce Power Consumption on FPGAs?
25th IEEE International Conference on Electronics Circuits and Systems (Bordeaux, 9. Dezember 2018 - 12. Dezember 2018)
In: Proceedings of IEEE International Conference on Electronics Circuits and Systems 2018
DOI: 10.1109/icecs.2018.8618062
BibTeX: Download
, , , , :
Evaluation of Approximate Computing Techniques for Power Reduction on FPGAs
AxC18: 3rd Workshop on Approximate Computing (Swissôtel Bremen, 31. Mai 2018 - 1. Juni 2018)
Open Access: https://www12.cs.fau.de/downloads/echavarria/pub/Evaluation_of_Approximate_Computing_Techniques_for_Power_Reduction_on_FPGAs.pdf
BibTeX: Download
, , , , :
AConFPGA: A Multiple-Output Boolean Function Approximation DSE Technique Targeting FPGAs
International Conference on Field Programmable Technology (FPT 2018) (Naha, Okinawa, 10. Dezember 2018 - 14. Dezember 2018)
In: Proceedings of 2018 International Conference on Field Programmable Technology 2018
DOI: 10.1109/fpt.2018.00065
BibTeX: Download
, , :
Design Space Exploration of Multi-output Logic Function Approximations
International Conference On Computer Aided Design (ICCAD 2018) (San Diego, CA, 5. November 2018 - 8. November 2018)
In: Proceedings of the International Conference On Computer Aided Design 2018
DOI: 10.1145/3240765.3240795
BibTeX: Download
, , :
Integrated Modeling Using Finite State Machines and Dataflow Graphs
In: Bhattacharyya S., Deprettere E., Leupers R., Takala J. (Hrsg.): Handbook of Signal Processing Systems, Springer, 2018, S. 825-864
ISBN: 978-3-319-91734-4
DOI: 10.1007/978-3-319-91734-4_23
BibTeX: Download
, , , , :
Special Issue on Heterogeneous Real-Time Image Processing
In: Journal of Real-Time Image Processing 14 (2018), S. 513-515
ISSN: 1861-8200
DOI: 10.1007/s11554-018-0763-2
BibTeX: Download
, :
Embedded GPUs in Future Automated Cars
Design, Automation and Test in Europe (DATE) (Dresden, 19. März 2018 - 23. März 2018)
BibTeX: Download
(Working Paper)
, , , :
Base Algorithms of Environment Maps and Efficient Occupancy Grid Mapping on Embedded GPUs
4th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS) (Funchal, Madeira, Portugal, 16. März 2018 - 18. März 2018)
DOI: 10.5220/0006677302980306
BibTeX: Download
, , , :
Cell-based Update Algorithm for Occupancy Grid Maps and new Hybrid Map for ADAS on Embedded GPUs
Design, Automation and Test in Europe (DATE) (Dresden, Germany, 19. März 2018 - 23. März 2018)
DOI: 10.23919/DATE.2018.8342050
BibTeX: Download
, , , , :
Path Planning for Highly Automated Driving on Embedded GPUs
4 (2018)
ISSN: 2079-9268
DOI: 10.3390/jlpea8040035
BibTeX: Download
, , , , :
Dynamic Resource Management for Heterogeneous Many-Cores
International Conference On Computer Aided Design (ICCAD 2018) (San Diego, CA, 5. November 0018 - 8. November 0018)
In: Proceedings of International Conference On Computer Aided Design 2018 2018
DOI: 10.1145/3240765.3243471
BibTeX: Download
, , , :
Development of a multisensor array for localizing bats in space
In: Journal of Physics: Conference Series 1065 (2018), S. 072014
ISSN: 1742-6588
DOI: 10.1088/1742-6596/1065/7/072014
BibTeX: Download
, , , , :
OpenCL-based FPGA Design to Accelerate the Nodal Discontinuous Galerkin Method for Unstructured Meshes
The 26th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM) (Boulder, CO, USA, 29. April 2018 - 1. Mai 2018)
In: Proceedings of the 26th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM) 2018
DOI: 10.1109/FCCM.2018.00037
BibTeX: Download
, , , , , , , , :
Computational Complexity of Error Metrics in Approximate Computing
In: Bernd Steinbach (Hrsg.): Further Improvements in the Boolean Domain, 2018, S. Cambridge Scholars Publishing
ISBN: 978-1-5275-0371-7
BibTeX: Download
, , :
Exact Design of Digital Microfluidic Biochips
Springer, 2018
ISBN: 978-3-319-90936-3
URL: https://www.springer.com/us/book/9783319909356
BibTeX: Download
, , :
Probabilistic Dominance in Robust Multi-Objective Optimization
IEEE Congress on Evolutionary Computation (CEC) (Barra da Tijuca, Rio de Janeiro, 8. Juli 2018 - 13. Juli 2018)
In: IEEE Congress on Evolutionary Computation (CEC) 2018
DOI: 10.1109/CEC.2018.8477676
URL: https://ieeexplore.ieee.org/abstract/document/8477676
BibTeX: Download
, , :
Simulation-based Uncertainty Correlation Modeling in Reliability Analysis
In: Proceedings of the Institution of Mechanical Engineers, Part O: Journal of Risk and Reliability 232 (2018), S. 725-737
ISSN: 1748-006X
DOI: 10.1177/1748006X18758720
URL: https://journals.sagepub.com/doi/abs/10.1177/1748006X18758720
BibTeX: Download
, , , :
The Gender Gap in Computer Science --- A Bibliometric Analysis
(2018)
ISSN: 2191-5008
DOI: 10.25593/issn.2191-5008/CS-2018-02
BibTeX: Download
(Techreport)
, , , , :
Improvements in LTE-Advanced Time Series Prediction with Dimensionality Reduction Algorithms
IEEE 5G World Forum (Santa Clara, CA, 9. Juli 2018 - 11. Juli 2018)
In: IEEE (Hrsg.): Proc. of the IEEE 5G World Forum 2018
DOI: 10.1109/5gwf.2018.8516973
BibTeX: Download
, , , :
Guest Editors’ Introduction: Special Issue on Time-Critical Systems Design
In: IEEE Design and Test of Computers 35 (2018), S. 5-7
ISSN: 0740-7475
DOI: 10.1109/MDAT.2018.2796037
BibTeX: Download
, , :
Guest Editors’ Introduction: Special Issue on Time-Critical Systems Design Part II
In: IEEE Design and Test of Computers 35 (2018), S. 5 - 6
ISSN: 0740-7475
DOI: 10.1109/MDAT.2018.2841769
BibTeX: Download
, , :
Time-Critical Systems Design: A Survey
In: IEEE Design and Test of Computers 35 (2018), S. 8-26
ISSN: 0740-7475
DOI: 10.1109/MDAT.2018.2794204
BibTeX: Download
, , :
A Flexible FPGA-based Inference Architecture for Pruned Deep Neural Networks
International Conference on Architecture of Computing Systems (Braunschweig, 9. April 2018 - 12. April 2018)
In: Proceedings of the International Conference on Architecture of Computing Systems 2018
DOI: 10.1007/978-3-319-77610-1_23
BibTeX: Download
, :
Automatic Kernel Fusion for Image Processing DSLs
21st International Workshop on Software and Compilers for Embedded Systems (SCOPES) (Sankt Goar, 28. Mai 2018 - 30. Mai 2018)
In: Proceedings of the 21th International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2018
DOI: 10.1145/3207719.3207723
BibTeX: Download
, , , :
A Domain-Specific Language Approach for Designing and Programming Heterogeneous Image Systems (Dissertation, 2018)
BibTeX: Download
:
Loop Parallelization Techniques for FPGA Accelerator Synthesis
In: Journal of Signal Processing Systems 90 (2018), S. 3-27
ISSN: 1939-8115
DOI: 10.1007/s11265-017-1229-7
BibTeX: Download
, , , , :
Architecture Decomposition in System Synthesis of Heterogeneous Many-Core Systems
55th ACM/EDAC/IEEE Design Automation Conference (DAC 2018) (San Francisco, CA, 24. Juni 2018 - 28. Juni 2018)
DOI: 10.1109/DAC.2018.8465811
BibTeX: Download
, , , , :
Modeling and Simulation of Invasive Applications and Architectures (Dissertation, 2018)
DOI: 10.1007/978-981-13-8387-8
BibTeX: Download
:
A Target Platform Description Language for Parallel Code Generation
31st GI/ITG International Conference on Architecture of Computing Systems (ARCS) (Braunschweig, 9. April 2018 - 12. April 2018)
In: Workshop Proceedings of the 31st GI/ITG International Conference on Architecture of Computing Systems (ARCS), Berlin: 2018
URL: https://www12.cs.fau.de/downloads/schmittch/publications/SHT18arcs.pdf
BibTeX: Download
, , :
Automating the Development of High-Performance Multigrid Solvers
In: Proceedings of the IEEE 106 (2018), S. 1969-1984
ISSN: 0018-9219
DOI: 10.1109/JPROC.2018.2854229
BibTeX: Download
, , , , :
Reconfigurable Hardware Generation of Multigrid Solvers with Conjugate Gradient Coarse-Grid Solution
In: Parallel Processing Letters 28 (2018), Art.Nr.: 1850016
ISSN: 0129-6264
DOI: 10.1142/S0129626418500160
BibTeX: Download
, , , , , :
On the Complexity of Mapping Feasibility in Many-Core Architectures
Multicore/Many-core Systems-on-Chip (MCSoC-2018) (Hanoi, 12. September 2018 - 14. September 2018)
In: Proceedings of Multicore/Many-core Systems-on-Chip (MCSoC-2018) 2018
DOI: 10.1109/MCSoC2018.2018.00038
BibTeX: Download
, , , , , , :
Symmetry-eliminating Design Space Exploration for Hybrid Application Mapping on Many-Core Architectures
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (2018), S. 297-310
ISSN: 0278-0070
DOI: 10.1109/TCAD.2017.2695894
BibTeX: Download
, , , , , :
Automatic Optimization of the VLAN Partitioning in Automotive Communication Networks
In: ACM Transactions on Design Automation of Electronic Systems (2018)
ISSN: 1084-4309
DOI: 10.1145/3278120
BibTeX: Download
, , , :
Automatic Optimization of Redundant Message Routings in Automotive Networks
21st International Workshop on Software and Compilers for Embedded Systems (SCOPES 2018) (Sankt Goar, 28. Mai 2018 - 30. Mai 2018)
In: ACM (Hrsg.): Proceedings of 21st International Workshop on Software and Compilers for Embedded Systems (SCOPES 2018) 2018
DOI: 10.1145/3207719.3207725
BibTeX: Download
, , , , :
Memory and Interface Architectures for Invasive Tightly Coupled Processor Arrays (Dissertation, 2018)
BibTeX: Download
:
Invasive Computing for Predictability of Multiple Non-functional Properties: A Cyber-Physical System Case Study
29th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Milan, Italy, 10. Juli 2018 - 12. Juli 2018)
DOI: 10.1109/ASAP.2018.8445109
URL: https://ieeexplore.ieee.org/abstract/document/8445109/
BibTeX: Download
, , , , , :
Model-Based Design Automation of Hardware/Software Co-Designs for Xilinx Zynq PSoCs
International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancún, Mexico, 3. Dezember 2018 - 5. Dezember 2018)
In: IEEE Proceedings of the 13th International Conference on ReConFigurable Computing and FPGAs 2018
DOI: 10.1109/RECONFIG.2018.8641736
BibTeX: Download
, , , , , , :
Symbolic Parallelization of Nested Loop Programs
Springer, 2018
ISBN: 978-3-319-73908-3
DOI: 10.1007/978-3-319-73909-0
BibTeX: Download
, , :
A Design-Time/Run-Time Application Mapping Methodology for Predictable Execution Time in MPSoCs
In: ACM Transactions on Embedded Computing Systems (2018)
ISSN: 1539-9087
DOI: 10.1145/3274665
BibTeX: Download
, , , , :
Invasive Computing for Mapping Parallel Programs to Many-Core Architectures
Springer, 2018
ISBN: 978-981-10-7355-7
DOI: 10.1007/978-981-10-7356-4
BibTeX: Download
, , , :
Run-time Requirement Enforcement for Loop Programs on Processor Arrays
16th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) (Peking, 15. Oktober 2018 - 17. Oktober 2018)
In: ACM, IEEE (Hrsg.): Proceedings of the 16th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) 2018
DOI: 10.1109/MEMCOD.2018.8556911
BibTeX: Download
, :
Configuration Tempering of BRAM-based AES Implementations on FPGAs
2018 International Conference on Reconfigurable Computing and FPGAs (Cancun, 3. Dezember 0018 - 5. Dezember 0018)
In: Proceedings of 2018 International Conference on Reconfigurable Computing and FPGAs 2018
DOI: 10.1109/reconfig.2018.8641692
BibTeX: Download
, , :
A Journey into DSL Design using Generative Programming: FPGA Mapping of Image Border Handling through Refinement
International Workshop on FPGAs for Software Programmers (Dublin, 31. August 2018)
In: Proceedings of the Fifth International Workshop on FPGAs for Software Programmers 2018
URL: https://www12.cs.fau.de/downloads/oezkan/publications/fsp18.pdf
BibTeX: Download
, , , , , :
Reliability Analysis and Optimization of Embedded Systems using Stochastic Logic and Importance Measures (Dissertation, 2017)
BibTeX: Download
:
Redundancy-aware Design Space Exploration for Memory Reliability in Many-cores
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV'17) (Bremen, 8. Februar 2017 - 9. Februar 2017)
In: Proc. Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV'17) 2017
BibTeX: Download
, , , , :
On the Boolean Extension of the Birnbaum Importance to Non-Coherent Systems
In: Reliability Engineering & System Safety 160 (2017), S. 191-200
ISSN: 0951-8320
DOI: 10.1016/j.ress.2016.12.013
BibTeX: Download
, , , :
Efficiency in ILP Processing by Using Orthogonality
The 28th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 2017) (Seattle, 10. Juli 2017 - 12. Juli 2017)
In: IEEE (Hrsg.): 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2017
DOI: 10.1109/ASAP.2017.7995282
BibTeX: Download
, , , :
Orthogonal Instruction Processing: An Alternative to Lightweight VLIW Processors
IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17) (Korea University, Seoul, Korea, 18. September 2017 - 20. September 2017)
In: 2017 IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip 2017
DOI: 10.1109/MCSoC.2017.17
BibTeX: Download
, , , :
Exploiting Predictability in Dynamic Network Communication for Power-Efficient Data Transmission in LTE Radio Systems
20th International Workshop on Software and Compilers for Embedded Systems (SCOPES’17) (Sankt Goar, Deutschland, 12. Juni 2017 - 13. Juni 2017)
In: ACM (Hrsg.): 20th International Workshop on Software and Compilers for Embedded Systems (SCOPES’17) 2017
DOI: 10.1145/3078659.3078670
BibTeX: Download
, , , , , , :
Approximate Frequent Itemsets Mining on Data Streams Using Hashing and Lexicographic Order in Hardware
8th Latin American Symposium on Circuits and Systems (LASCAS)
DOI: 10.1109/LASCAS.2017.7948076
BibTeX: Download
, , , , , :
Efficient Arithmetic Error Rate Calculus for Visibility Reduced Approximate Adders
In: IEEE Embedded Systems Letters (2017), Art.Nr.: 99
ISSN: 1943-0663
DOI: 10.1109/LES.2017.2760922
BibTeX: Download
, , , :
SysteMoC: A Data-Flow Programming Language for Codesign
In: Ha S, Teich J (Hrsg.): Handbook of Hardware/Software Codesign, Dordrecht, The Netherlands: Springer, 2017, S. 59 - 97
ISBN: 978-94-017-7266-2
BibTeX: Download
, , , :
Environment Mapping Using Massively Parallel Architectures
Vehicle Intelligence (München, 5. Dezember 2017 - 7. Dezember 2017)
BibTeX: Download
, , , :
Convoy Tracking for ADAS on Embedded GPUs
Intelligent Vehicles Symposium (IV 2017) (Redondo Beach, CA, USA, 11. Juni 2017 - 14. Juni 2017)
BibTeX: Download
, , , , :
Hybrid Optimization Techniques for System-Level Design Space Exploration
In: Ha S, Teich J (Hrsg.): Handbook of Hardware/Software Codesign, Dordrecht, The Netherlands: Springer, 2017, S. 217-246
ISBN: 978-94-017-7266-2
BibTeX: Download
, , , :
The Handbook of Hardware/Software Codesign
Springer, 2017
DOI: 10.1007/978-94-017-7267-9_4
BibTeX: Download
, :
Introduction to Hardware/Software Codesign
In: Ha S, Teich J (Hrsg.): Handbook of Hardware/Software Codesign, Dordrecht, The Netherlands: Springer, 2017, S. 3-26
ISBN: 978-94-017-7266-2
DOI: 10.1007/978-94-017-7267-9
BibTeX: Download
, , , , , , , , , :
Domain-specific and Resource-aware Computing (Habilitationsschrift, 2017)
DOI: 10.13140/RG.2.2.23418.13761
URL: https://www.cs12.tf.fau.de/files/2016/08/Frank_Hannig_Habilitation2017.pdf
BibTeX: Download
:
Power Density-Aware Resource Management for Heterogeneous Tiled Multicores
In: IEEE Transactions on Computers 66 (2017), S. 488--501
ISSN: 0018-9340
DOI: 10.1109/TC.2016.2595560
BibTeX: Download
, , , , , , , , :
System-Level Reliability Analysis Considering Imperfect Fault Coverage
15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia) (Seoul, Republic of Korea, 15. Oktober 2017 - 20. Oktober 2017)
In: 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia) 2017
DOI: 10.1145/3139315.3141787
BibTeX: Download
, , :
Automatic Reliability Analysis in the Presence of Probabilistic Common Cause Failures
In: IEEE Transactions on Reliability 66 (2017), S. 319-338
ISSN: 0018-9529
DOI: 10.1109/TR.2016.2638320
URL: http://ieeexplore.ieee.org/document/7805166/
BibTeX: Download
, , :
Towards Virtual Hardware Prototyping for Generated Geometric Multigrid Solvers
CS 2017-01 (2017), S. 1-8
ISSN: 2191-5008
Open Access: http://nbn-resolving.de/urn:nbn:de:bvb:29-opus4-83179
URL: http://nbn-resolving.de/urn:nbn:de:bvb:29-opus4-83179
BibTeX: Download
(Techreport)
, , , , , :
A Scala Prototype to Generate Multigrid Solver Implementations for Different Problems and Target Multi-Core Platforms
In: International Journal of Computational Science and Engineering 14 (2017), S. 150-163
ISSN: 1742-7185
DOI: 10.1504/IJCSE.2017.10003829
BibTeX: Download
, , , , , , , :
Automatic Conversion of Simulink Models to SysteMoC Actor Networks
20th International Workshop on Software and Compilers for Embedded Systems (SCOPES) (Sankt Goar, 12. Juni 2017 - 13. Juni 2017)
DOI: 10.1145/3078659.3078668
BibTeX: Download
, , , :
Using Design Space Exploration for Finding Schedules with Guaranteed Reaction Times of Synchronous Programs on Multi-core Architecture
In: Journal of Systems Architecture 74 (2017), S. 30-45
ISSN: 1383-7621
BibTeX: Download
, , , , , , , :
Runtime Analysis of a Discrete Particle Swarm Optimization Algorithm on Sorting and OneMax
Conference on Foundations of Genetic Algorithms (FOGA) (Copenhagen, Denmark, 12. Januar 2017 - 15. Januar 2017)
In: ACM New York, NY, USA (Hrsg.): Proceedings of the 14th ACM/SIGEVO Conference on Foundations of Genetic Algorithms 2017
DOI: 10.1145/3040718.3040721
BibTeX: Download
, , , , :
Self-Adaptive FPGA-Based Image Processing Filters Using Approximate Arithmetics
20th International Workshop on Software and Compilers for Embedded Systems (SCOPES) (Sankt Goar, 12. Juni 2017 - 13. Juni 2017)
In: Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems 2017
DOI: 10.1145/3078659.3078669
BibTeX: Download
, , , , :
Automatic Operating Point Distillation for Hybrid Mapping Methodologies
Design, Automation & Test in Europe Conference & Exhibition (DATE) (Lausanne, 27. März 2017 - 31. März 2017)
In: Proceedings of the 20th Design, Automation & Test in Europe Conference & Exhibition (DATE) 2017
DOI: 10.23919/DATE.2017.7927160
BibTeX: Download
, , :
Predictable Run-Time Mapping Reconfiguration for Real-Time Applications on Many-Core Systems
International Conference on Real-Time Networks and Systems (RTNS) (Grenoble, 4. Oktober 2017 - 6. Oktober 2017)
In: Proceedings of the 25th International Conference on Real-Time Networks and Systems (RTNS) 2017
DOI: 10.1145/3139258.3139278
URL: https://dl.acm.org/authorize?N42087
BibTeX: Download
, , , :
Auto-vectorization for Image Processing DSLs
18th International Conference on Languages, Compilers, Tools, and Theory for Embedded Systems (LCTES) (Barcelona, 21. Juni 2017 - 22. Juni 2017)
In: Proceedings of the 18th International Conference on Languages, Compilers, Tools, and Theory for Embedded Systems (LCTES) 2017
DOI: 10.1145/3078633.3081039
BibTeX: Download
, , , :
Generating FPGA-based Image Processing Accelerators with Hipacc
International Conference on Computer Aided Design (ICCAD) (Irvine, 13. November 2017 - 16. November 2017)
In: Proceedings of the International Conference on Computer Aided Design (ICCAD) 2017
DOI: 10.1109/ICCAD.2017.8203894
BibTeX: Download
, , , , :
High Performance Network-on-Chip Simulation by Interval-based Timing Predictions
15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia) (Seoul, Republic of Korea, 15. Oktober 2017 - 20. Oktober 2017)
In: ACM (Hrsg.): Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia) 2017
DOI: 10.1145/3139315.3139320
BibTeX: Download
, , :
Holistic Actor-Oriented Modeling of Embedded Systems for ESL Power Consumption Evaluation (Dissertation, 2017)
BibTeX: Download
:
Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning
In: Integration-The Vlsi Journal (2017)
ISSN: 0167-9260
BibTeX: Download
, , , :
Formal Timing Analysis of Non-Scheduled Traffic in Automotive Scheduled TSN Networks
Design, Automation and Test in Europe (DATE) (Lausanne, 27. März 2017 - 30. März 2017)
In: Proceedings of Design, Automation and Test in Europe (DATE) 2017 2017
BibTeX: Download
, , , :
Optimizing Message Routing and Scheduling in Automotive Mixed-Criticality Time-Triggered Networks
54th ACM/EDAC/IEEE Design Automation Conference (DAC 2017) (Austin, 18. Juni 2017 - 22. Juni 2017)
In: Proceedings of 54th ACM/EDAC/IEEE Design Automation Conference (DAC 2017) 2017
DOI: 10.1145/3061639.3062298
BibTeX: Download
, , , :
TCPA Editor: A Design Automation Environment for a Class of Coarse-Grained Reconfigurable Arrays
Demo Night at the IEEE International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancun, Mexico, 4. Dezember 2017 - 6. Dezember 2017)
DOI: 10.1109/RECONFIG.2017.8279818
URL: http://ieeexplore.ieee.org/document/8279818/
BibTeX: Download
, , , , :
A Reconfigurable Memory Architecture for System Integration of Coarse-Grained Reconfigurable Arrays
International Conference on ReConFigurable Computing and FPGA's (ReConFig) (Cancun, Mexico, 4. Dezember 2017 - 6. Dezember 2017)
DOI: 10.1109/RECONFIG.2017.8279768
URL: http://ieeexplore.ieee.org/document/8279768/
BibTeX: Download
, , , :
High-Level Synthesis for Hardware/Software Co-Design of Distributed Smart Camera Systems
International Conference on Distributed Smart Cameras (ICDSC) (Stanford, USA, 5. September 2017 - 7. September 2017)
In: ACM Proceedings of the 11th International Conference on Distributed Smart Cameras 2017
DOI: 10.1145/3131885.3131932
BibTeX: Download
, , , , , :
Symbolic Parallelization of Nested Loop Programs (Dissertation, 2017)
BibTeX: Download
:
Symbolic Multi-Level Loop Mapping of Loop Programs for Massively Parallel Processor Arrays
In: ACM Transactions on Embedded Computing Systems 17 (2017), S. 31:1-31:27
ISSN: 1539-9087
DOI: 10.1145/3092952
BibTeX: Download
, , , :
Run-Time Monitoring and Enforcement of Non-functional Program Properties of Invasive Programs: Terms and Definitions
(2017)
URL: https://www12.informatik.uni-erlangen.de/publications/pub2017/reportTeich17.pdf
BibTeX: Download
(Techreport)
:
Trends in Data Locality Abstractions for HPC Systems
In: IEEE Transactions on Parallel and Distributed Systems (2017)
ISSN: 1045-9219
DOI: 10.1109/TPDS.2017.2703149
BibTeX: Download
, , , , , , , , , , , , , , , , , , , , :
Towards the co-evolution of industrial products and its production systems by combining models from development and hardware/software deployment in cyber-physical systems
In: Production Engineering 11 (2017), S. 687-694
ISSN: 0944-6524
DOI: 10.1007/s11740-017-0765-0
BibTeX: Download
, , :
Towards the Co-Evolution of Industrial Products and its Production Systems by Combining Models from Development and Hardware/Software Deployment in Cyber-Physical Systems
In: Production Engineering 11 (2017), S. 687-694
ISSN: 0944-6524
DOI: 10.1007/s11740-017-0765-0
URL: https://link.springer.com/article/10.1007/s11740-017-0765-0
BibTeX: Download
, , :
Application Mapping Methodologies for Invasive NoC-Based Architectures (Dissertation, 2017)
BibTeX: Download
:
Constructing Fast and Cycle-Accurate Simulators for Configurable Accelerators Using C++ Templates
Symposium on Rapid System Prototyping (Seoul, South Korea, 19. Oktober 2017 - 20. Oktober 2017)
In: Proceedings of the Symposium on Rapid System Prototyping 2017
BibTeX: Download
, , :
Efficient Task Spawning for Shared Memory and Message Passing in Many-core Architectures
In: Journal of Systems Architecture 77 (2017), S. 72-82
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2017.03.004
BibTeX: Download
, , , , , , :
Improving Reliability, Security, and Efficiency of Reconfigurable Hardware Systems (Habilitationsschrift, 2017)
URL: https://opus4.kobv.de/opus4-fau/files/9271/habilon.pdf
BibTeX: Download
:
A Highly Efficient and Comprehensive Image Processing Library for C++-based High-Level Synthesis
Fourth International Workshop on FPGAs for Software Programmers (FSP) (Ghent, 7. September 2017)
In: Proceedings of the Fourth International Workshop on FPGAs for Software Programmers (FSP) 2017
URL: https://ieeexplore.ieee.org/document/8084549
BibTeX: Download
, , , :
Hardware Design and Analysis of Efficient Loop Coarsening and Border Handling for Image Processing
28th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Seattle, 10. Juli 2017 - 12. Juli 2017)
In: 28th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2017
DOI: 10.1109/ASAP.2017.7995273
URL: https://www12.cs.fau.de/downloads/oezkan/publications/asap17.pdf
BibTeX: Download
, , , :
A Binary Time Series Model of LTE Scheduling for Machine Learning Prediction
10th IEEE International Conference on Self-Adaptive and Self-Organizing Systems (SASO 2016) (Augsburg, 12. September 2016 - 16. September 2016)
In: 1st International Workshops on Foundations and Applications of Self-Adaptive and Self-Organizing Systems (SASO 2016)Self-Organizing Systems (SASO 2016) 2016
DOI: 10.1109/FAS-W.2016.64
BibTeX: Download
, , , , :
Guiding Genetic Algorithms Using Importance Measures for Reliable Design of Embedded Systems
29th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (Connecticut, 19. September 2016 - 20. September 2016)
In: Proceedings of 29th IEEE Symposium on Defect and Fault Tolerance in VLSI and 2016
BibTeX: Download
, , , , :
A LUT-Based Approximate Adder
24th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2016). (Washington DC, 1. Mai 2016 - 3. Mai 2016)
In: Proceedings of the 24th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines 2016
DOI: 10.1109/FCCM.2016.16
BibTeX: Download
, , , , :
Hybrid Energy-Aware Reconfiguration Management on Xilinx Zynq SoCs
International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancún)
In: Proceedings of the International Conference on Reconfigurable Computing and FPGAs (ReConFig) 2016
DOI: 10.1109/ReConFig.2016.7857177
BibTeX: Download
, , , , :
ReOrder: Runtime Datapath Generation for High-Throughput Multi-Stream Processing
International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancún)
In: Proceedings of the International Conference on Reconfigurable Computing and FPGAs 2016
DOI: 10.1109/ReConFig.2016.7857185
BibTeX: Download
, , , :
A Novel Image Impulse Noise Removal Algorithm Optimized for Hardware Accelerators
In: Journal of Signal Processing Systems 89 (2016), S. 225-242
ISSN: 1939-8018
DOI: 10.1007/s11265-016-1187-5
BibTeX: Download
, , , , , :
A New Time-Independent Reliability Importance Measure
In: European Journal of Operational Research (2016)
ISSN: 0377-2217
DOI: 10.1016/j.ejor.2016.03.054
BibTeX: Download
, , , :
Providing security on demand using invasive computing
In: it - Information Technology 58 (2016), S. 281-295
ISSN: 1611-2776
DOI: 10.1515/itit-2016-0032
BibTeX: Download
, , , , , , , , , :
FAU: Fast and Error-Optimized Approximate Adder Units on LUT-Based FPGAs
International Conference on Field Programmable Technology (FPT 2016) (Xi'an, 7. Dezember 2016 - 9. Dezember 2016)
In: Proceedings of 2016 International Conference on Field Programmable Technology 2016
DOI: 10.1109/FPT.2016.7929536
BibTeX: Download
, , , , :
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016
In: Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, 2016
BibTeX: Download
, :
Recap of the 2016 DATE Conference & Exhibition
In: IEEE Design and Test of Computers 33 (2016), S. 114-117
ISSN: 0740-7475
DOI: 10.1109/MDAT.2016.2570223
BibTeX: Download
, :
Modeling, Programming and Performance Analysis of Automotive Environment Map Representations on Embedded GPUs
18th IEEE International High-Level Design Validation and Test Workshop (HLDVT) (Santa Cruz, CA, 7. Oktober 2016 - 8. Oktober 2016)
In: Proceedings of the 18th IEEE International High-Level Design Validation and Test Workshop (HLDVT) 2016
DOI: 10.1109/HLDVT.2016.7748257
BibTeX: Download
, , , , :
A Quick Tour of High-Level Synthesis Solutions for FPGAs
In: Dirk Koch, Frank Hannig, and Daniel Ziener (Hrsg.): FPGAs for Software Programmers, Springer, 2016
DOI: 10.1007/978-3-319-26408-0_3
BibTeX: Download
:
Architecture of computing systems – ARCS 2016: 29th international conference Nuremberg, Germany, April 4-7, 2016 Proceedings
Springer Verlag, 2016
ISBN: 9783319306940
DOI: 10.1007/978-3-319-30695-7
BibTeX: Download
, , , , :
Proceedings of the 29th International Conference on Architecture of Computing Systems (ARCS)
Berlin; Heidelberg: 2016
(Lecture Notes in Computer Science (LNCS), Bd. 9637)
ISBN: 978-3-319-30694-0
DOI: 10.1007/978-3-319-30695-7
BibTeX: Download
, , , , , (Hrsg.):
Supporting Composition in Symbolic System Synthesis
International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS) (Samos, 18. Juli 2016 - 21. Juli 2016)
BibTeX: Download
, , :
A Novel NoC-Architecture for Fault Tolerance and Power Saving
Third International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS (Nuremberg, 4. April 2016 - 5. April 2016)
In: In Proceedings of the third International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS 2016
BibTeX: Download
, , , , , , , , , :
Dark Silicon Management: An Integrated and Coordinated Cross-Layer Approach
In: it - Information Technology 58 (2016), S. 297-307
ISSN: 1611-2776
DOI: 10.1515/itit-2016-0028
BibTeX: Download
, , , , , , , , , , , , , , , :
Multi-Objective Design Space Exploration for the Optimization of the HEVC Mode Decision Process
Picture Coding Symposium(PCS) (Nürnberg, 4. Dezember 2016 - 7. Dezember 2016)
In: Picture Coding Symposium (PCS) 2016
DOI: 10.1109/PCS.2016.7906327
URL: http://arxiv.org/abs/2203.01782
BibTeX: Download
, , , , :
Hybrid Code Description for Developing Fast and Resource Efficient Image Processing Architectures
16th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS) (Island of Samos, 18. Juni 2016 - 21. Juni 2016)
In: Proceedings of the 16th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS) 2016
DOI: 10.1109/SAMOS.2016.7818350
BibTeX: Download
, , , , , , :
Exploiting Electronic Design Automation for Checking Legal Regulations: A Vision
In: Frank Oppenheimer, Julio Luis Medina Pasaje (Hrsg.): Languages, Design Methods, and Tools for Electronic System Design, 2016, S. 101 - 112 (Lecture Notes in Electrical Enginieering)
ISBN: 978-3-319-24457-0
URL: https://www.springer.com/de/book/9783319244556
BibTeX: Download
, :
FPGAs for Software Programmers
Berlin; Heidelberg: 2016
ISBN: 978-3-319-26406-6
DOI: 10.1007/978-3-319-26408-0
BibTeX: Download
, , (Hrsg.):
FPGA versus Software Programming - Why, When, and How?
In: Dirk Koch, Frank Hannig, and Daniel Ziener (Hrsg.): FPGAs for Software Programmers, 2016, S. 1-21
DOI: 10.1007/978-3-319-26408-0_1
BibTeX: Download
, , :
Invasive Tightly Coupled Processor Arrays
In: Springer book series on Computer Architecture and Design Methodologies, Singapore: Springer, 2016
ISBN: 978-981-10-1058-3
DOI: 10.1007/978-981-10-1058-3
BibTeX: Download
:
Providing Fault Tolerance Through Invasive Computing
In: it - Information Technology 58 (2016), S. 309-238
ISSN: 1611-2776
BibTeX: Download
, , , , , , , , :
Hardware Architectures for Frequent Itemset Mining Based on Equivalence Classes Partitioning
2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)
DOI: 10.1109/IPDPSW.2016.98
BibTeX: Download
, , :
A scalable and customizable processor array for implementing cellular genetic algorithms
In: Neurocomputing (2016), S. 899 - 910
ISSN: 0925-2312
DOI: 10.1016/j.neucom.2015.05.128
URL: http://www.sciencedirect.com/science/article/pii/S0925231215015933
BibTeX: Download
, , :
HIPAcc: A Domain-Specific Language and Compiler for Image Processing
In: IEEE Transactions on Parallel and Distributed Systems 27 (2016), S. 210-224
ISSN: 1045-9219
DOI: 10.1109/TPDS.2015.2394802
BibTeX: Download
, , , , , :
Adaptive Isolation for Predictability and Security
2016
(Dagstuhl Reports, Bd. 6)
BibTeX: Download
, , (Hrsg.):
Timing Verification of Realtime Automotive Networks: What can we expect from Simulation?
8th European Congress on Embedded Real Time Software and Systems (ERTS) (Toulouse, 27. Januar 2016 - 29. Januar 2016)
In: Proceedings of the 8th European Congress on Embedded Real Time Software and Systems (ERTS) 2016
BibTeX: Download
, , :
Efficient Deep Neural Network Acceleration through FPGA-based Batch Processing
International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancún, 30. November 2016 - 2. Dezember 2016)
In: Proceedings of the International Conference on Reconfigurable Computing and FPGAs (ReConFig) 2016
DOI: 10.1109/ReConFig.2016.7857167
BibTeX: Download
, :
Simulating shallow water waves with lazy activation of patches using ActorX10
Second International Workshop on Extreme Scale Programming Models and Middleware (ESPM2)
In: Proceedings of the Second International Workshop on Extreme Scale Programming Models and Middleware (ESPM2) 2016
DOI: 10.1109/ESPM2.2016.9
BibTeX: Download
, , , :
InvadeSIM: A Simulator for Heterogeneous Multi-Processor Systems-on-Chip
Design, Automation and Test in Europe (DATE) (Dresden, 14. März 2016 - 18. März 2016)
In: Tool presentation at the University Booth 2016
URL: https://www.date-conference.com/system/files/file/date16/ubooth/37912.pdf
BibTeX: Download
, , :
ActorX10: An Actor Library for X10
ACM SIGPLAN X10 Workshop (X10), ACM (Santa Barbara, CA)
In: Proceedings of the 6th ACM SIGPLAN X10 Workshop (X10) 2016
BibTeX: Download
, , , , , , , :
Analysis and Exploitation of CTU-Level Parallelism in the HEVC Mode Decision Process Using Actor-based Modeling
Architecture of Computing Systems (ARCS) (Nürnberg, 4. April 2016 - 7. April 2016)
In: Springer (Hrsg.): In Proceedings of the International Conference on Architecture of Computing Systems (ARCS), Berlin; Heidelberg: 2016
DOI: 10.1007/978-3-319-30695-7_20
BibTeX: Download
, , , , :
A heterogeneous multi-core SoC for mixed criticality industrial automation systems
Emerging Technologies and Factory Automation (ETFA)
In: Proceedings Emerging Technologies and Factory Automation (ETFA), 2016 IEEE 21st International Conference 2016
BibTeX: Download
, , , :
Optimizing Latencies and Customizing NoC of Time-Predictable Heterogeneous Multi-Core Processor
10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16) (Lyon, 21. September 2016 - 23. September 2016)
In: Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16) 2016
BibTeX: Download
, , , :
HIPAcc
In: Dirk Koch, Frank Hannig, and Daniel Ziener (Hrsg.): FPGAs for Software Programmers, Springer, 2016
DOI: 10.1007/978-3-319-26408-0_12
BibTeX: Download
, , , :
Big Data and HPC Acceleration with Vivado HLS
In: Dirk Koch, Frank Hannig, and Daniel Ziener (Hrsg.): FPGAs for Software Programmers, Springer, 2016, S. 115-136
DOI: 10.1007/978-3-319-26408-0_7
BibTeX: Download
, , , , , , :
Systems of Partial Differential Equations in ExaSlang
In: Software for Exascale Computing - SPPEXA 2013-2015, Berlin, Heidelberg, New York: Springer, 2016, S. 47-67 (Lecture Notes in Computational Science and Engineering, Bd.113)
ISBN: 9783319405261
DOI: 10.1007/978-3-319-40528-5_3
BibTeX: Download
, , , , , , :
A High-Performance Image Processing DSL for Heterogeneous Architectures
9th European Lisp Symposium (Krakau, 9. Mai 2016 - 10. Mai 2016)
In: Proceedings of ELS 9th European Lisp Symposium 2016
URL: https://dl.acm.org/citation.cfm?id=3005729.3005734
BibTeX: Download
, , , , :
Formal Reliability Analysis of Switched Ethernet Automotive Networks under Transient Transmission Errors
53nd ACM/EDAC/IEEE Design Automation Conference (DAC 2016) (Austin, 5. Juni 2016 - 9. Juni 2016)
In: Proceedings of the 53nd ACM/EDAC/IEEE Design Automation Conference (DAC 2016) 2016
BibTeX: Download
, , , :
Vision-Based Path Construction and Maintenance for Indoor Guidance of Autonomous Ground Vehicles Based on Collaborative Smart Cameras
International Conference on Distributed Smart Cameras (ICDSC) (Paris, France, 12. September 2017 - 15. September 2017)
In: ACM Proceedings of the 10th International Conference on Distributed Smart Cameras 2016
DOI: 10.1145/2967413.2967425
BibTeX: Download
, , , :
LoopInvader: A Compiler for Tightly Coupled Processor Arrays
Design, Automation and Test in Europe (DATE) (Dresden, 14. März 2016 - 18. März 2016)
In: Tool presentation at the University Booth 2016
URL: https://www.date-conference.com/system/files/file/date16/ubooth/37913.pdf
BibTeX: Download
, , , , , :
Invasive Computing - Editorial
In: it - Information Technology 58 (2016), S. 263-265
ISSN: 1611-2776
BibTeX: Download
:
Language and Compilation of Parallel Programs for *-Predictable MPSoC Execution using Invasive Computing
10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16) (Lyon, 21. September 2016 - 23. September 2016)
In: Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16) 2016
DOI: 10.1109/MCSoC.2016.30
BibTeX: Download
, , , , , , :
Exploration of Power Domain Partitioning for Application-Specific SoCs in System-Level Design
19. GI/ITG/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2016) (Freiburg, 1. März 2016 - 2. März 2016)
In: In Proceedings of the 19. GI/ITG/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2016) 2016
DOI: 10.6094/UNIFR/10643
BibTeX: Download
, , , , , , , , :
Position Paper: Towards Redundant Communication through Hybrid Application Mapping
Third International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) (Nuremberg, 4. April 2016 - 5. April 2016)
In: Proceedings of the third International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) 2016
BibTeX: Download
, :
Design-Time/Run-Time Mapping of Security-Critical Applications in Heterogeneous MPSoCs
19th International Workshop on Software and Compilers for Embedded Systems (SCOPES) (St. Goar, 23. Mai 2016 - 25. Mai 2016)
In: In Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2016
DOI: 10.1145/2906363.2906370
BibTeX: Download
, , , , , :
Invasive Computing for Timing-Predictable Stream Processing on MPSoCs
In: it - Information Technology 58 (2016), S. 267-280
ISSN: 1611-2776
DOI: 10.1515/itit-2016-0021
BibTeX: Download
, , , , , , , , , , , , , , , , :
Look-Ahead Schemes for Nearest Neighbor Optimization of 1D and 2D Quantum Circuits
21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016
DOI: 10.1109/ASPDAC.2016.7428026
BibTeX: Download
, , , , , :
Modulo Scheduling of Symbolically Tiled Loops for Tightly Coupled Processor Arrays
IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (London, 6. Juli 2016 - 8. Juli 2016)
In: Proceedings of the 27th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2016
BibTeX: Download
, , , :
Hierarchical Statistical Leakage Analysis and its Application
In: ACM Transactions on Design Automation of Electronic Systems (2016)
ISSN: 1084-4309
BibTeX: Download
, :
FPGA-Based Dynamically Reconfigurable SQL Query Processing
In: ACM Transactions on Reconfigurable Technology and Systems 9 (2016), S. 25:1-25:24
ISSN: 1936-7406
DOI: 10.1145/2845087
BibTeX: Download
, , , , , , , , :
FPGA-Based Accelerator Design from a Domain-Specific Language
26th International Conference on Field-Programmable Logic and Applications (FPL) (Lausanne, 29. August 2016 - 2. September 2016)
In: Proceedings of the 26th International Conference on Field-Programmable Logic and Applications (FPL) 2016
DOI: 10.1109/FPL.2016.7577357
BibTeX: Download
, , , :
Importance measures in time-dependent reliability analysis and system design
25th European Safety and Reliability Conference, ESREL 2015 (Zürich, 7. September 2015 - 10. September 2015)
In: Proceedings of the Annual European Safety and Reliability Conference (ESREL '15) 2015
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84959018237&origin=inward
BibTeX: Download
, , , :
Application-aware cross-layer reliability analysis and optimization
In: it - Information Technology 57 (2015), S. 159-169
ISSN: 1611-2776
DOI: 10.1515/itit-2014-1080
BibTeX: Download
, , , , , , , , , , , , , :
Approximate Adder Structures on FPGAs
AxC15: 1st Workshop on Approximate Computing (Paderborn, Germany)
Open Access: https://www12.cs.fau.de/downloads/echavarria/pub/Approximate_Adder_Structures_on_FPGAs.pdf
BibTeX: Download
, , , :
A co-design approach for accelerated SQL query processing via FPGA-based data filtering
International Conference on Field Programmable Technology, FPT 2015 (Queenstown, 7. Dezember 2015 - 9. Dezember 2015)
DOI: 10.1109/FPT.2015.7393148
BibTeX: Download
, , , :
A Fine-Grained Configurable Cache Architecture for Soft Processors
International Symposium on Computer Architecture and Digital Systems (CADS) (Tehran, 7. Oktober 2015 - 8. Oktober 2015)
In: Proceedings of the 18th CSI International Symposium on Computer Architecture and Digital Systems (CADS) 2015
DOI: 10.1109/CADS.2015.7377783
URL: https://ieeexplore.ieee.org/document/7377783/
BibTeX: Download
, , , :
Code Generation for Tightly Coupled Processor Arrays (Dissertation, 2015)
BibTeX: Download
:
A Clustering-Based MPSoC Design Flow for Data Flow-Oriented Applications (Dissertation, 2015)
DOI: 10.13140/RG.2.1.5029.5763
BibTeX: Download
:
Quasi-static scheduling of data flow graphs in the presence of limited channel capacities
13th IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2015
DOI: 10.1109/ESTIMedia.2015.7351766
BibTeX: Download
, , , , , :
Automatic communication-driven virtual prototyping and design for networked embedded systems
In: Microprocessors and Microsystems 39 (2015), S. 1012–1028
ISSN: 0141-9331
DOI: 10.1016/j.micpro.2015.08.008
BibTeX: Download
, , , , :
Application-driven reconfiguration of shared resources for timing predictability of MPSoC platforms
48th Asilomar Conference on Signals, Systems and Computers, ACSSC 2015 (Pacific Grove, CA, 2. November 2014 - 5. November 2014)
In: Proceedings of Asilomar Conference on Signals, Systems, and Computers (ASILOMAR) 2015
DOI: 10.1109/ACSSC.2014.7094471
BibTeX: Download
, , , , :
Reliability of Space-Grade vs. COTS SRAM-Based FPGA in N-Modular Redundancy
2015 NASA/ESA Conference on Adaptive Hardware and Systems (Montreal, 15. Juni 2015 - 18. Juni 2015)
In: IEEE (Hrsg.): Proceedings of 2015 NASA/ESA Conference on Adaptive Hardware and Systems 2015
BibTeX: Download
, , , , , , :
Design and Optimization of Multi-Variant Automotive E/E Architecture Component Platforms (Dissertation, 2015)
BibTeX: Download
:
Symbolic Message Routing for Multi-Objective Optimization of Automotive E/E Architecture Component Platforms
18. Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2015) (Chemnitz, 3. März 2015 - 4. März 2015)
In: Proceedings of 18. Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2015) 2015
BibTeX: Download
, , :
A Methodology for the Optimized Design of an E/E Architecture Component Platform
Stuttgart International Symposium (Stuttgart, 17. März 2015 - 18. März 2015)
In: Proceedings of the Stuttgart International Symposium 2015
DOI: 10.1007/978-3-658-08844-6_14
BibTeX: Download
, , , :
Robust Design of E/E Architecture Component Platforms
52nd ACM/EDAC/IEEE Design Automation Conference (DAC 2015) (San Francisco, CA, 7. Juni 2015 - 11. Juni 2015)
In: Proceedings of the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC 2015) 2015
DOI: 10.1145/2744769.2747941
BibTeX: Download
, , , , :
Proceedings of the DATE Friday Workshop on Heterogeneous Architectures and Design Methods for Embedded Image Systems (HIS 2015)
2015
Open Access: http://arxiv.org/abs/1502.07241
URL: http://arxiv.org/abs/1502.07241
BibTeX: Download
, , (Hrsg.):
Introduction to the Special Issue on Testing, Prototyping, and Debugging of Multi-Core Architectures
In: Journal of Systems Architecture 61 (2015), S. 600
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2015.11.003
BibTeX: Download
, :
Proceedings of the Second International Workshop on FPGAs for Software Programmers (FSP 2015)
2015
BibTeX: Download
, , (Hrsg.):
Fault-tolerant communication in invasive networks on chip
NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2015
In: Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) 2015
DOI: 10.1109/AHS.2015.7231156
BibTeX: Download
, , , , , , , , , , , :
Uncertainty-aware reliability analysis and optimization
2015 Design, Automation and Test in Europe Conference and Exhibition, DATE 2015 (Grenoble, 9. März 2015 - 13. März 2015)
In: Proceedings of Design, Automation and Test in Europe (DATE 2015) 2015
DOI: 10.7873/DATE.2015.0319
BibTeX: Download
, , , :
ExaSlang and the ExaStencils Code Generator
PASC'15 (Zürich, 1. Juni 2015 - 3. Juni 2015)
BibTeX: Download
, , :
Invasive Tightly Coupled Processor Arrays (Dissertation, 2015)
BibTeX: Download
:
A co-design approach for fault-tolerant loop execution on Coarse-Grained Reconfigurable Arrays
NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2015 (Montreal, 15. Juni 2016 - 18. Juni 2015)
In: Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems 2015
DOI: 10.1109/AHS.2015.7231157
BibTeX: Download
, , , , , , :
An Empirical Analysis on Dimensionality in Cellular Genetic Algorithms
Annual Conference on Genetic and Evolutionary Computation - GECCO '15
DOI: 10.1145/2739480.2754699
URL: http://doi.acm.org/10.1145/2739480.2754699
BibTeX: Download
, , , :
Degree-constrained Subgraph Reconfiguration is in P
40th International Symposium on Mathematical Foundations of Computer Science (MFCS) (Milano, 24. August 2015 - 28. August 2015)
In: Proceedings of the 40th International Symposium on Mathematical Foundations of Computer Science (MFCS) 2015
BibTeX: Download
:
Fairness in Academic Course Timetabling
Cham, Heidelberg, New York, Dordrecht, London: Springer International Publishing, 2015
(Lecture Notes in Economics and Mathematical Systems, Bd.678)
ISBN: 978-3-319-12798-9
DOI: 10.1007/978-3-319-12799-6
BibTeX: Download
:
Fairness in Academic Course Timetabling (Dissertation, 2015)
DOI: 10.1007/978-3-319-12799-6
BibTeX: Download
:
Resource-awareness on heterogeneous MPSoCs for image processing
In: Journal of Systems Architecture 61 (2015), S. 668-680
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2015.09.002
BibTeX: Download
, , , , , , , , , , , , , , , :
Explanation of Stagnation at Points that are not Local Optima in Particle Swarm Optimization by Potential Analysis
17th Genetic and Evolutionary Computation Conference (GECCO) (Madrid, Spain, 11. Juli 2015 - 15. Juli 2015)
In: ACM New York, NY, USA (Hrsg.): Companion of Proc. 17th Genetic and Evolutionary Computation Conference (GECCO) 2015
DOI: 10.1145/2739482.2764654
BibTeX: Download
, , :
Explanation of Stagnation at Points that are not Local Optima in Particle Swarm Optimization by Potential Analysis [Extended Version]
(2015)
URL: https://arxiv.org/abs/1504.08241
BibTeX: Download
(online publication)
, , :
Automatic Optimization of Hardware Accelerators for Image Processing
DATE Friday Workshop on Heterogeneous Architectures and Design Methods for Embedded Image Systems (HIS 2015) (Grenoble, 13. März 2015 - 13. März 2015)
In: Proceedings of the DATE Friday Workshop on Heterogeneous Architectures and Design Methods for Embedded Image Systems (HIS 2015) 2015
URL: http://arxiv.org/abs/1502.07448
BibTeX: Download
, , , , , :
Synthesis and Optimization of Image Processing Accelerators using Domain Knowledge
In: Journal of Systems Architecture 61 (2015), S. 646-658
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2015.09.004
URL: https://www12.cs.fau.de/downloads/reiche/publications/RHRSHTF15.pdf
BibTeX: Download
, , , , , , :
Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures
52nd ACM/EDAC/IEEE Design Automation Conference, DAC 2015 (San Francisco, CA, 7. Juni 2015 - 11. Juni 2015)
In: Proceedings of the 52nd ACM/EDAC/IEEE Design Automation Conference (DAC) 2015
DOI: 10.1145/2744769.2744840
BibTeX: Download
, , , :
Invasive computing for predictable stream processing: A simulation-based case study
13th IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2015 (Amsterdam, 8. Oktober 2015 - 9. Oktober 2015)
DOI: 10.1109/ESTIMedia.2015.7351761
BibTeX: Download
, , , :
Rapid Prototyping for Hardware Accelerators in the Medical Imaging Domain (Dissertation, 2015)
BibTeX: Download
:
Loop Coarsening in C-based High-Level Synthesis
26th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Toronto, 27. Juli 2015 - 29. Juli 2015)
In: Proceedings of the 26th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2015
DOI: 10.1109/ASAP.2015.7245730
URL: https://www12.cs.fau.de/downloads/reiche/publications/SRHT15.pdf
BibTeX: Download
, , , :
Generation of Multigrid-based Numerical Solvers for FPGA Accelerators
2nd International Workshop on High-Performance Stencil Computations (HiStencils) (Amsterdam, 20. Januar 2015 - 20. Januar 2015)
In: Proceedings of the 2nd International Workshop on High-Performance Stencil Computations (HiStencils) 2015
URL: https://www12.cs.fau.de/downloads/schmittch/publications/SSHTKK15histencils.pdf
BibTeX: Download
, , , , , :
Convergence Analysis for Particle Swarm Optimization (Dissertation, 2015)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus4-61621
BibTeX: Download
:
Particle Swarm Optimization Almost Surely Finds Local Optima
In: Theoretical Computer Science 561A (2015), S. 57-72
ISSN: 0304-3975
DOI: 10.1016/j.tcs.2014.05.017
BibTeX: Download
, :
Multimodal Medical Image Registration Using Particle Swarm Optimization with Influence of the Data's Initial Orientation
12th IEEE Conf. on Computational Intelligence in Bioinformatics and Computational Biology (CIBCB) (Niagara Falls)
In: Proc. 12th IEEE Conf. on Computational Intelligence in Bioinformatics and Computational Biology (CIBCB) 2015
DOI: 10.1109/CIBCB.2015.7300314
BibTeX: Download
, , :
Throughput-optimizing compilation of dataflow applications for multi-cores using quasi-static scheduling
18th International Workshop on Software and Compilers for Embedded Systems, SCOPES 2015 (St. Goar)
In: Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2015
DOI: 10.1145/2764967.2764972
BibTeX: Download
, , , , , :
Insights on the Configuration and Performances of SOME/IP Service Discovery
In: SAE International Journal of Passenger Cars - Electronic and Electrical Systems 8 (2015), S. 124-129
ISSN: 1946-4614
BibTeX: Download
, , , :
Formal analysis of the startup delay of SOME/IP service discovery
2015 Design, Automation and Test in Europe Conference and Exhibition, DATE 2015 (Grenoble, 9. März 2015 - 13. März 2015)
In: Proceedings of Design, Automation and Test in Europe (DATE 2015) 2015
DOI: 10.7873/DATE.2015.0469
BibTeX: Download
, , , , :
Reconfigurable Buffer Structures for Coarse-Grained Reconfigurable Arrays
International Embedded Systems Symposium (IESS) (Foz do Iguaçu, 3. November 2015 - 6. November 2015)
In: Marcelo Götz, Gunar Schirner, Marco Aurélio Wehrmeister, Mohammad Abdullah Al Faruque, and Achim Rettberg (Hrsg.): Proceedings of the International Embedded Systems Symposium (IESS) 2015
DOI: 10.1007/978-3-319-90023-0
URL: http://www.springer.com/us/book/9783319900223
BibTeX: Download
, , :
Runtime adaptation of application execution under thermal and power constraints in massively parallel processor arrays
18th International Workshop on Software and Compilers for Embedded Systems, SCOPES 2015 (St. Goar, 1. Juni 2015 - 3. Juni 2015)
In: In Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2015
DOI: 10.1145/2764967.2771933
BibTeX: Download
, , , , :
Symbolic loop parallelization for balancing I/O and memory accesses on processor arrays
ACM/IEEE International Conference on Formal Methods and Models for Codesign, MEMOCODE 2015 (Austin, 21. September 2015 - 23. September 2015)
In: Proceedings of the 13th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) 2015
DOI: 10.1109/MEMCOD.2015.7340486
BibTeX: Download
, , , :
On-demand fault-tolerant loop processing on massively parallel processor arrays
26th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2015 (Toronto, 27. Juli 2015 - 29. Juli 2015)
In: In Proceedings of the 26th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2015
DOI: 10.1109/ASAP.2015.7245734
BibTeX: Download
, , , , :
Adaptive Isolation for Predictable MPSoC Stream Processing
18th Int. Workshop on Software and Compilers for Embedded Systems (SCOPES 2015) (Schloss Rheinfels, St. Goar, 1. Juni 2015 - 3. Juni 2015)
In: Proc. of the 18th Int. Workshop on Software and Compilers for Embedded Systems (SCOPES 2015) 2015
DOI: 10.1145/2764967.2771821
BibTeX: Download
:
Compact Code Generation and Throughput Optimization for Coarse-Grained Reconfigurable Arrays
In: Luk, Wayne, Constantinides, George A. (Hrsg.): Transforming Reconfigurable Systems: A Festschrift Celebrating the 60th Birthday of Professor Peter Cheung, 2015, S. 167-206
ISBN: 978-1-78326-696-8
DOI: 10.1142/9781783266975_0010
BibTeX: Download
, , , :
Techniques for on-demand structural redundancy for massively parallel processor arrays
In: Journal of Systems Architecture 61 (2015), S. 615-627
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2015.10.004
BibTeX: Download
, , , , , :
Scalable ECG Hardware and Algorithms for Extended Runtime of Wearable Sensors
IEEE International Symposium on Medical Measurements and Applications (MeMeA) (Torino)
In: 2015 IEEE International Symposium on Medical Measurements and Applications 2015
DOI: 10.1109/MeMeA.2015.7145209
BibTeX: Download
, , , , , , , , , , :
Sampling rate impact on energy consumption of biomedical signal processing systems
12th International Conference on Wearable and Implantable Body Sensor Networks (BSN) (Cambridge, USA, 9. Juni 2015 - 12. Juni 2015)
DOI: 10.1109/BSN.2015.7299392
BibTeX: Download
, , , , , , , , , , , , :
Position Paper: Towards Hardware-Assisted Decentralized Mapping of Applications for Heterogeneous NoC Architectures.
International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) (Porto, 24. März 2015 - 24. März 2015)
In: Proceedings of the second International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) 2015
BibTeX: Download
, , , , , , :
Design Methodology and Run-Time Management for Predictable Many-Core Systems
18th IEEE International Symposium on Real-Time Distributed Computing Workshops, ISORCW 2015 (Auckland)
In: Proceedings of the 6th IEEE Workshop on Self-Organizing Real-Time Systems (SORT 2015) 2015
DOI: 10.1109/ISORCW.2015.48
BibTeX: Download
, , :
Adaptive Fault Tolerance in Tightly Coupled Processor Arrays with Invasive Computing
11th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) (Fiuggi, 12. Juli 2015 - 18. Juli 2015)
In: Proceedings of the 11th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) 2015
BibTeX: Download
, , , :
Adaptive fault tolerance through invasive computing
NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2015 (Montreal, 15. Juni 2016 - 18. Juni 2015)
In: Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems 2015
DOI: 10.1109/AHS.2015.7231155
BibTeX: Download
, , , , , :
System-Level Power and Performance Estimation in Early SoC Design Phases (Dissertation, 2015)
BibTeX: Download
:
Network interface with task spawning support for NoC-based DSM architectures
28th International Conference on Architecture of Computing Systems, ARCS 2015 (Porto, 24. März 2015 - 24. März 2015)
In: Proceedings of 28th GI/ITG International Conference on Architecture of Computing Systems (ARCS) 2015
DOI: 10.1007/978-3-319-16086-3_15
BibTeX: Download
, , , , , , :
Bridging Algorithm and ESL Design: MATLAB/Simulink Model Transformation and Validation
In: Marie-Minerve Louërat, Torsten Maehne (Hrsg.): Languages, Design Methods, and Tools for Electronic System Design, Springer, 2015, S. 189-206
ISBN: 978-3-319-06316-4
DOI: 10.1007/978-3-319-06317-1_10
BibTeX: Download
, , , :
FPGA-Based Dynamically Reconfigurable SQL Query Processing
In: ACM Transactions on Reconfigurable Technology and Systems (2015)
ISSN: 1936-7406
BibTeX: Download
, , , , , , , , :
Non-Intrusive Integration of Advanced Diagnosis Features in Automotive E/E-Architectures
Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. März 2014)
In: Proc. of Design, Automation and Test in Europe (DATE), New York, NY, USA: 2014
DOI: 10.7873/DATE2014.373
BibTeX: Download
, , , , , , , , , :
An efficient technique for computing importance measures in automatic design of dependable embedded systems
2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2014 (New Delhi, 12. Oktober 2014 - 17. Oktober 2014)
In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2014) 2014
DOI: 10.1145/2656075.2656079
BibTeX: Download
, , , :
Automatic Graph-based Success Tree Construction and Analysis
Annual Reliability and Maintainability Symposium (RAMS) (Colorado Springs, Colorado, USA, 27. Januar 2014 - 30. Januar 2014)
In: Proc. 60th Annual Reliability and Maintainability Symposium (RAMS) 2014
DOI: 10.1109/RAMS.2014.6798487
URL: https://www12.informatik.uni-erlangen.de/people/rwanka/publications/AGWT14.php
BibTeX: Download
, , , :
How Much Forcing is Necessary to Let the Results of Particle Swarms Converge?
International Conference on Swarm Intelligence Based Optimization (ICSIBO)
In: Proc. Int. Conf. on Swarm Intelligence Based Optimization (ICSIBO) 2014
DOI: 10.1007/978-3-319-12970-9_11
URL: http://www12.cs.fau.de/people/rwanka/publications/BSW14.php
BibTeX: Download
, , :
Energy-aware SQL query acceleration through FPGA-based dynamic partial reconfiguration
24th International Conference on Field Programmable Logic and Applications, FPL 2014 (Munich, 2. September 2014 - 4. September 2014)
In: Proceedings of the Conference on Field-Programmable Logic and Applications (FPL 2014) 2014
DOI: 10.1109/FPL.2014.6927502
BibTeX: Download
, , , :
Compact Code Generation for Tightly-Coupled Processor Arrays
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 77(1-2) (2014), S. 5-29
ISSN: 1387-5485
DOI: 10.1007/s11265-014-0891-2
BibTeX: Download
, , :
FSM Merging and Reduction for IP Cores Watermarking using Genetic Algorithms
2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig) (Cancun, 8. Dezember 2014 - 10. Dezember 2014)
In: Proceedings of 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig) 2014
DOI: 10.1109/ReConFig.2014.7032525
BibTeX: Download
, , , :
Timing Analysis of a Heterogeneous Architecture with Massively Parallel Processor Arrays
DATE Friday Workshop on Performance, Power and Predictability of Many-Core Embedded Systems (3PMCES) (Dresden, Germany, 28. März 2014 - 28. März 2014)
URL: https://ecsi.org/resource/workshop/2014/3PMCES/DATE/paper/timing-analysis-heterogeneous-architecture-massively-parallel-processor-arrays
BibTeX: Download
, , , :
Quality-aware video decoding on thermally-constrained MPSoC platforms
25th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2014 (Zurich, 18. Juni 2014 - 29. Juni 2014)
In: Proceedings of the 25th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2014
DOI: 10.1109/ASAP.2014.6868670
BibTeX: Download
, , :
Design and Evaluation of Future Ethernet AVB-based ECU Networks
In: Embedded Systems Development, From Functional Models to Implementations 2014, Berlin; Heidelberg: Springer-Verlag, 2014, S. 205-220
ISBN: 978-1-4614-3878-6
BibTeX: Download
, , , :
A self-adaptive SEU mitigation system for FPGAs with an internal block RAM radiation particle sensor
22nd IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2014 (Boston, 11. Mai 2014 - 13. Mai 2014)
In: Proceedings of Field-Programmable Custom Computing Machines (FCCM 2014) 2014
DOI: 10.1109/FCCM.2014.79
BibTeX: Download
, , , , :
Temperature modeling and emulation of an ASIC temperature monitor system for Tightly-Coupled Processor Arrays (TCPAs)
In: Advances in Radio Science 12 (2014), S. 103-109
ISSN: 1684-9973
DOI: 10.5194/ars-12-103-2014
BibTeX: Download
, , , , , :
Design Space Exploration for Automotive E/E Architecture Component Platforms
Euromicro Conference on Digital System Design (DSD) (Verona, 27. August 2014 - 29. August 2014)
In: Proc. of Euromicro Conference on Digital System Design (DSD), New York, NY, USA: 2014
DOI: 10.1109/DSD.2014.43
BibTeX: Download
, , , :
Multi-Variant-based Design Space Exploration for Automotive Embedded Systems
Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. März 2014)
In: Proc. of Design, Automation and Test in Europe (DATE), New York, NY, USA: 2014
DOI: 10.7873/DATE2014.020
BibTeX: Download
, , , :
Towards Scalable Symbolic Routing for Multi-Objective Networked Embedded System Design and Optimization
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (New Delhi, 12. Oktober 2014 - 17. Oktober 2014)
In: Proc. of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), New York, NY, USA: 2014
DOI: 10.1145/2656075.2656102
BibTeX: Download
, , , :
Experiments on Optimizing the Performance of Stencil Codes with SPL Conqueror
In: Parallel Processing Letters 24 (2014)
ISSN: 0129-6264
DOI: 10.1142/S0129626414410011
BibTeX: Download
, , , , , , , :
Optimizing the Performance of Customizable Stencil Codes with Feature-Interaction Detection
BibTeX: Download
, , , , :
Optimizing Performance of Stencil Code with SPL Conqueror
1st International Workshop on High-Performance Stencil Computations (HiStencils) (Vienna, 20. Januar 2014 - 20. Januar 2014)
In: Proceedings of the 1st International Workshop on High-Performance Stencil Computations (HiStencils) 2014
URL: https://www12.cs.fau.de/downloads/schmittch/publications/GSAKSK14histencils.pdf
BibTeX: Download
, , , , , :
Proceedings of the First International Workshop on FPGAs for Software Programmers (FSP 2014)
2014
BibTeX: Download
, , (Hrsg.):
Invasive Tightly-Coupled Processor Arrays: A Domain-Specific Architecture/Compiler Co-Design Approach
In: ACM Transactions on Embedded Computing Systems 13 (2014), S. 133:1-133:29
ISSN: 1539-9087
DOI: 10.1145/2584660
BibTeX: Download
, , , , :
Proceedings of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing 2014)
2014
BibTeX: Download
, (Hrsg.):
CAP: Communication Aware Programming
The 51st Annual Design Automation Conference (DAC) (San Francisco, CA, 2. Juni 2014 - 5. Juni 2014)
In: Proc. of The 51st Annual Design Automation Conference (DAC), New York, NY, USA: 2014
DOI: 10.1145/2593069.2593103
BibTeX: Download
, , , , , , , , , :
Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-Chip Resilience
In: Microelectronics Reliability 54 (2014), S. 1066-1074
ISSN: 0026-2714
DOI: 10.1016/j.microrel.2013.12.012
BibTeX: Download
, , , , , , , , , , , , , , , , , , , :
Exact One-Pass Synthesis of Digital Microfluidic Biochips
51st Annual Design Automation Conference, DAC 2014 (San Francisco, CA)
DOI: 10.1145/2593069.2593135
BibTeX: Download
, , , :
Multi-objective local-search optimization using reliability importance measuring
51st Annual Design Automation Conference, DAC 2014 (San Francisco, CA, 1. Juni 2014 - 5. Juni 2014)
In: Proceedings of the 51st Design Automation Conference (DAC 2014) 2014
DOI: 10.1145/2593069.2593164
BibTeX: Download
, , , :
Generating Highly Parallel Geometric Multigrid Solvers with the ExaStencils Apporach
3rd Workshop on Extreme-scale Programming Tools (New Orleans, 17. November 2014 - 17. November 2014)
BibTeX: Download
, , , , :
Towards a Better Understanding of the Local Attractor in Particle Swarm Optimization: Speed and Solution Quality
International Conference on Adaptive and Intelligent Systems (ICAIS) (Bournemouth, UK, 8. September 2014 - 10. September 2014)
In: Proc. International Conference on Adaptive and Intelligent Systems (ICAIS) 2014
DOI: 10.1007/978-3-319-11298-5_10
URL: https://www12.informatik.uni-erlangen.de/people/rwanka/publications/LSW14.php
BibTeX: Download
, , :
Massively Parallel Processor Architectures for Resource-aware Computing
First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing ) (Paderborn, 29. Mai 2014 - 30. Mai 2014)
In: Proc. of the First Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing 2014) 2014
BibTeX: Download
, , , :
ExaStencils: Advanced Stencil-Code Engineering - First Project Report
(2014)
Open Access: http://www.fim.uni-passau.de/fileadmin/files/forschung/mip-berichte/MIP1401.pdf
BibTeX: Download
(Techreport)
, , , , , , , , , , , , :
ExaStencils: Advanced Stencil-Code Engineering
Euro-Par: Parallel Processing Workshops (Porto, 25. August 2014 - 26. August 2014)
In: Proceedings of Euro-Par 2014: Parallel Processing Workshops, Berlin; Heidelberg: 2014
DOI: 10.1007/978-3-319-14313-2_47
URL: http://link.springer.com/content/pdf/10.1007/978-3-319-14313-2_47.pdf
BibTeX: Download
, , , , , , , , , , , , :
Exploration of Distributed Automotive Systems using Compositional Timing Analysis
In: Embedded Systems Development: From Functional Models to Implementations, Berlin; Heidelberg: Springer-Verlag, 2014, S. 189-204
ISBN: 978-1-4614-3878-6
BibTeX: Download
, , , :
Code Generation for Embedded Heterogeneous Architectures on Android
Conference on Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. März 2014)
In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) 2014
DOI: 10.7873/DATE2014.099
BibTeX: Download
, , , :
Towards a Performance-portable Description of Geometric Multigrid Algorithms using a Domain-specific Language
In: Journal of Parallel and Distributed Computing 74 (2014), S. 3191-3201
ISSN: 0743-7315
DOI: 10.1016/j.jpdc.2014.08.008
BibTeX: Download
, , , , , , :
Fairness in Academic Course Timetabling
In: Annals of Operations Research (2014)
ISSN: 0254-5330
DOI: 10.1007/s10479-014-1553-2
BibTeX: Download
, :
The Connectedness of Clash-free Timetables
Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) (York, UK)
In: Proc. 10th Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) 2014
URL: http://www12.cs.fau.de/people/rwanka/publications/MW14.php
BibTeX: Download
, :
Introduction to the special issue on domain-specific multicore computing
In: Transactions on Embedded Computing Systems 13 (2014), Art.Nr.: 129
ISSN: 1558-3465
DOI: 10.1145/2588609
BibTeX: Download
, :
Self-Adaptive Harris Corner Detection on Heterogeneous Many-core Processor
2014 8th Conference on Design and Architectures for Signal and Image Processing, DASIP 2014 (Madrid, 8. Oktober 2014 - 10. Oktober 2014)
In: Proc. of the Conference on Design and Architectures for Signal and Image Processing (DASIP), Gières, France: 2014
DOI: 10.1109/DASIP.2014.7115616
BibTeX: Download
, , , , , , , :
Code Generation from a Domain-specific Language for C-based HLS of Hardware Accelerators
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (New Dehli, 12. Oktober 2014 - 17. Oktober 2014)
In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), New York, NY, USA: 2014
DOI: 10.1145/2656075.2656081
BibTeX: Download
, , , , :
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures
The 51st Annual Design Automation Conference (DAC) (San Francisco, CA, 2. Juni 2014 - 5. Juni 2014)
In: Proc. of The 51st Annual Design Automation Conference (DAC), New York, NY, USA: 2014
DOI: 10.1145/2593069.2602971
BibTeX: Download
, , , , , , , , :
Towards Actor-oriented Programming on PGAS-based Multicore Architectures
First International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) (Lübeck)
In: Proc. of the first International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) 2014
BibTeX: Download
, , :
Mahler: Sketch-based Model-driven Virtual Prototyping
In: Proc. of the 27th International Conference on Architecture of Computing Systems (ARCS), New York, NY, USA: IEEE Press, 2014, S. 85-97 (Lecture Notes in Computer Science, Bd.8350)
ISBN: 978-3-319-04890-1
DOI: 10.1007/978-3-319-04891-8_8
BibTeX: Download
, , :
MAESTRO - Holistic Actor-oriented Modeling of Non-Functional Properties and Firmware Behavior for MPSoCs
In: ACM Transactions on Design Automation of Electronic Systems 19 (2014), S. 23:1-23:26
ISSN: 1084-4309
DOI: 10.1145/2594481
BibTeX: Download
, , , , , :
Connecting Different Worlds - Technology Abstraction for Reliability-Aware Design and Test
Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. März 2014)
In: Proc. of Design, Automation and Test in Europe (DATE), New York, NY, USA: 2014
DOI: 10.7873/DATE2014.265
BibTeX: Download
, , , , , , , , :
An Image Processing Library for C-based High-Level Synthesis
Field-Programmable Logic and Applications (FPL) (Munich, 1. September 2014 - 5. September 2014)
In: Proc. of Field-Programmable Logic and Applications (FPL), New York, NY, USA: 2014
DOI: 10.1109/FPL.2014.6927424
BibTeX: Download
, , , :
High-Level Synthesis Revised - Generation of FPGA Accelerators from a Domain-Specific Language using the Polyhedron Model
In: Parallel Computing: Accelerating Computational Science and Engineering (CSE), Amsterdam, The Netherlands: IOS Press, 2014, S. 497-506 (Advances in Parallel Computing, Bd.25)
ISBN: 978-1-61499-380-3
DOI: 10.3233/978-1-61499-381-0-497
BibTeX: Download
, , , :
Code Generation for High-Level Synthesis of Multiresolution Applications on FPGAs
First International Workshop on FPGAs for Software Programmers (FSP) (Munich, 1. September 2014 - 1. September 2014)
In: Proc. of the First International Workshop on FPGAs for Software Programmers (FSP) 2014
URL: http://arxiv.org/abs/1408.4721
BibTeX: Download
, , , , :
Domain-Specific Augmentations for High-Level Synthesis
25th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Zurich, 18. Juni 2014 - 20. Juni 2014)
In: Proc. of the 25th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), New York, NY, USA: 2014
DOI: 10.1109/ASAP.2014.6868653
BibTeX: Download
, , , , , :
An automatic netlist and floorplanning approach to improve the MTTR of scrubbing techniques
2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA '14 (Monterey, CA, 26. Februar 2014 - 28. Februar 2014)
In: In Proceedings of 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA '14 2014
BibTeX: Download
, , :
Minimizing Scrubbing Effort through Automatic Netlist Partitioning and Floorplanning
Reconfigurable Architectures Workshop (RAW) (Phoenix, 19. Mai 2014 - 23. Mai 2014)
In: Proc. of the Reconfigurable Architectures Workshop (RAW) 2014
DOI: 10.1109/IPDPSW.2014.41
BibTeX: Download
, , :
ExaSlang: A Domain-Specific Language for Highly Scalable Multigrid Solvers
4th International Workshop on Domain-Specific Languages and High-Level Frameworks for High Performance Computing (WOLFHPC) (New Orleans, LA, USA, 17. November 2014 - 17. November 2014)
In: Proc. of the 4th International Workshop on Domain-Specific Languages and High-Level Frameworks for High Performance Computing (WOLFHPC), New York, NY, USA: 2014
DOI: 10.1109/WOLFHPC.2014.11
BibTeX: Download
, , , , :
An Evaluation of Domain-Specific Language Technologies for Code Generation
14th International Conference on Computational Science and its Applications (ICCSA) (Minho, Guimaraes, 30. Juni 2014 - 3. Juli 2014)
In: Proc. of the 14th International Conference on Computational Science and its Applications (ICCSA), New York, NY, USA: 2014
DOI: 10.1109/ICCSA.2014.16
BibTeX: Download
, , , , :
DPSK modulated wakeup mechanism for point-to-point networks with partial network support
9th IEEE International Symposium on Industrial Embedded Systems, SIES 2014 (Pisa)
In: Proceedings of the 9th IEEE International Symposium on Industrial Embedded Systems (SIES) 2014
DOI: 10.1109/SIES.2014.6871209
BibTeX: Download
, , , , :
A self-propagating wakeup mechanism for point-to-point networks with partial network support
17th Design, Automation and Test in Europe, DATE 2014 (Dresden, 24. März 2014 - 28. März 2014)
In: Proceedings of Design, Automation and Test in Europe (DATE 2014) 2014
DOI: 10.7873/DATE2014.019
BibTeX: Download
, , , , , :
Runtime reconfigurable bus arbitration for concurrent applications on heterogeneous MPSoC architectures
17th Euromicro Conference on Digital System Design, DSD 2014 (Verona, 27. August 2014 - 29. August 2014)
In: Proceedings of the EUROMICRO Digital System Design Conference (DSD) 2014
DOI: 10.1109/DSD.2014.105
BibTeX: Download
, , , :
Resource-Aware Computer Vision Application on Heterogeneous Multi-Tile Architecture.
Hardware and Software Demo at the University Booth at Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. Dezember 2017)
In: Hardware and Software Demo at the University Booth at Design, Automation and Test in Europe (DATE) 2014
Open Access: https://www.date-conference.com/system/files/file/date14/ubooth/2615.pdf
URL: https://www.date-conference.com/system/files/file/date14/ubooth/2615.pdf
BibTeX: Download
, , , , , :
Symbolic inner loop parallelisation for massively parallel processor arrays
12th ACM/IEEE International Conference on Methods and Models for System Design, MEMOCODE 2014 (Lausanne, 19. Oktober 2014 - 21. Oktober 2014)
In: Proceedings of the 12th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE) 2014
DOI: 10.1109/MEMCOD.2014.6961865
BibTeX: Download
, , , :
White Paper: Programming Abstractions for Data Locality
PADAL Workshop 2014 (Swiss National Supercomputing Center (CSCS), Lugano, Switzerland, 28. April 2014 - 29. April 2014)
In: Proc. of PADAL Workshop 2014
URL: http://www.padalworkshop.org/white-paper/
BibTeX: Download
, , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , :
Symbolic Mapping of Loop Programs onto Processor Arrays
In: Journal of Signal Processing Systems, Berlin; Heidelberg: Springer-Verlag, 2014, S. 31-59
DOI: 10.1007/s11265-014-0905-0
BibTeX: Download
, , :
End-to-End Power Estimation for Heterogeneous Cellular LTE SoCs in Early Design Phases
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Palma de Mallorca, 29. September 2014 - 1. Oktober 2014)
In: Proceedings of the International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2014
DOI: 10.1109/PATMOS.2014.6951904
BibTeX: Download
, , , , , :
DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems
2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2014 (New Delhi, 12. Oktober 2014 - 17. Oktober 2014)
In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2014) 2014
DOI: 10.1145/2656075.2656083
BibTeX: Download
, , , , :
The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure
First International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) (Lübeck)
In: Proc. of the first International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS) 2014
BibTeX: Download
, :
Multi-Objective Distributed Run-time Resource Management for Many-Cores
Design, Automation and Test in Europe (DATE) (Dresden, 24. März 2014 - 28. März 2014)
In: Proc. of Design, Automation and Test in Europe (DATE), New York, NY, USA: 2014
DOI: 10.7873/DATE2014.234
BibTeX: Download
, , :
Self-Integration for Virtualization of Embedded Many-Core Systems
Workshop on Self-Improving System Integration (SISSY 2014) (London, 8. September 2014 - 8. September 2014)
In: Proceedings of the Workshop on Self-Improving System Integration (SISSY 2014) 2014
BibTeX: Download
, :
Parametric Yield Optimization Using Leakage-Yield-Driven Floorplanning
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Palma de Mallorca, 29. September 2014 - 1. Oktober 2014)
In: Proceedings of the International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2014
DOI: 10.1109/PATMOS.2014.6951860
BibTeX: Download
, , :
Model-based actor multiplexing with application to complex communication protocols
17th Design, Automation and Test in Europe, DATE 2014 (Dresden, 24. März 2014 - 28. März 2014)
In: Proceedings of Design, Automation and Test in Europe (DATE 2014) 2014
DOI: 10.7873/DATE2014.229
BibTeX: Download
, , , , :
Communication-driven Automatic Virtual Prototyping for Networked Embedded Systems
Euromicro Conference on Digital System Design (DSD) (Verona, 27. August 2014 - 29. August 2014)
In: Proc. of Euromicro Conference on Digital System Design (DSD), New York, NY, USA: 2014
BibTeX: Download
, , , , :
Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults
Design, Automation and Test in Europe (DATE) (Grenoble, 18. März 2013 - 22. März 2013)
In: Proc. Design, Automation and Test in Europe, New York, NY, USA: 2013
DOI: 10.7873/DATE.2013.329
BibTeX: Download
, , , :
Symbolic system synthesis using answer set programming
12th International Conference on Logic Programming and Nonmonotonic Reasoning, LPNMR 2013 (Corunna, 15. September 2013 - 19. September 2013)
In: Proceedings of the 12th International Conference on Logic Programming and Nonmonotonic Reasoning (LPNMR) 2013
DOI: 10.1007/978-3-642-40564-8_9
BibTeX: Download
, , , , , :
A Combined Mapping and Routing Algorithm for 3D NoCs Based on ASP
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV) (Rostock, 12. März 2013 - 14. März 2013)
In: Proceedings of Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV) 2013
BibTeX: Download
, , , , , :
Concepts and Algorithms to Increase the Efficiency and Reliability of Reconfigurable Computer (Dissertation, 2013)
BibTeX: Download
:
Maestro: A High Performance AES Encryption/Decryption System
International Symposium on Computer Architecture and Digital Systems (CADS) (Tehran, 30. Oktober 2013 - 31. Oktober 2013)
In: Proceedings of the 17th CSI International Symposium on Computer Architecture and Digital Systems (CADS) 2013
DOI: 10.1109/CADS.2013.6714255
URL: https://ieeexplore.ieee.org/document/6714255/
BibTeX: Download
, , :
Loop Program Mapping and Compact Code Generation for Programmable Hardware Accelerators
24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (Washington, DC, 5. Juni 2013 - 7. Juni 2013)
In: Proc. 24th International Conference on Application-Specific Systems, Architectures and Processors, New York, NY, USA: 2013
DOI: 10.1109/ASAP.2013.6567544
BibTeX: Download
, , :
Transactor-based Prototyping of Heterogeneous Multiprocessor System-On-Chip Architectures
Synopsys Users Group Conference (SNUG) (Munich, 14. Mai 2013 - 14. Mai 2013)
In: Proc. Synopsys Users Group Conference 2013
BibTeX: Download
, , , :
Acceleration of SQL Restrictions and Aggregations through FPGA-based Dynamic Partial Reconfiguration
IEEE International Field-Programmable Custom Computing Machines Symposium (FCCM) (Seattle, 28. April 2013 - 30. April 2013)
In: Proc. IEEE International Field-Programmable Custom Computing Machines Symposium 2013
DOI: 10.1109/FCCM.2013.38
BibTeX: Download
, , :
Integrated Modeling Using Finite State Machines and Dataflow Graphs
In: huvra S. Bhattacharyya and Ed F. Deprettere and Rainer Leupers and Jarmo Takala (Hrsg.): Handbook of Signal Processing Systems, 2013, S. 975-2013
DOI: 10.1007/978-1-4614-6859-2_30
BibTeX: Download
, , :
Integrated Modeling Using Finite State Machines and Dataflow Graphs
In: Bhattacharyya S., Deprettere E., Leupers R., Takala J. (Hrsg.): Handbook of Signal Processing Systems, New York, NY: Springer, 2013
ISBN: 978-1-4614-6858-5
DOI: 10.1007/978-1-4614-6859-2_30
BibTeX: Download
, , , :
A Rule-Based Quasi-Static Scheduling Approach for Static Islands in Dynamic Dataflow Graphs
In: ACM Transactions on Embedded Computing Systems, New York, NY: ACM, 2013, S. 74:1-74:31
DOI: 10.1145/2442116.2442124
BibTeX: Download
, , , :
A rule-based quasi-static scheduling approach for static islands in dynamic dataflow graphs
In: ACM Transactions on Embedded Computing Systems 12 (2013), Art.Nr.: 74
ISSN: 1539-9087
DOI: 10.1145/2442116.2442124
BibTeX: Download
, , , :
Combining Formal Model-Based System-Level Design with SystemC Transaction Level Modeling (Dissertation, 2013)
BibTeX: Download
:
Scenario-Based Energy Estimation of Heterogeneous Integrated Systems at System Level
43rd European Microwave Conference (EuMC) (Nuremberg, 7. Oktober 2013 - 10. Oktober 2013)
In: Proc. 43rd European Microwave Conference 2013
BibTeX: Download
, , , , , , , :
Investigating the Impact of Energy-Efficient Ethernet on Automotive Applications via High-level Modeling
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV) (Warnemünde, 12. März 2013 - 14. März 2013)
In: Tagungsunterlagen Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, Rostock: 2013
BibTeX: Download
, , :
IVaM: Implicit Variant Modeling and Management for Automotive Embedded Systems
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (Montreal, QC, 29. September 2013 - 4. Oktober 2013)
In: Proc. International Conference on Hardware/Software Codesign and System Synthesis, New York, NY, USA: 2013
DOI: 10.1109/CODES-ISSS.2013.6658982
BibTeX: Download
, , , , :
System Integration of Tightly-Coupled Processor Arrays using Reconfigurable Buffer Structures
ACM International Conference on Computing Frontiers (CF) (Ischia, 14. Mai 2013 - 16. Mai 2013)
In: Proc. ACM International Conference on Computing Frontiers, New York, NY, USA: 2013
DOI: 10.1145/2482767.2482770
BibTeX: Download
, , , , :
Hardware Supported Adaptive Data Collection for Networks on Chip
IEEE 27th International Parallel and Distributed Processing Symposium Workshops PhD Forum (IPDPSW) (Boston, Massachusetts, 20. Mai 2013 - 24. Mai 2013)
In: Proc. IEEE 27th International Parallel and Distributed Processing Symposium Workshops PhD Forum, Red Hook, NY, USA: 2013
DOI: 10.1109/IPDPSW.2013.124
BibTeX: Download
, , , , , , , :
Virtual Networks - Distributed Communication Resource Management
In: ACM Transactions on Reconfigurable Technology and Systems 6 (2013), S. 8:1-8:14
ISSN: 1936-7406
DOI: 10.1145/2492186
BibTeX: Download
, , , , , , , :
Run-time adaption for highly-complex multi-core systems
11th ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013 (Montreal, QC, 29. September 2013 - 4. Oktober 2013)
DOI: 10.1109/CODES-ISSS.2013.6659000
BibTeX: Download
, , , :
Cross-Layer Dependability Modeling and Abstraction in System on Chip
9th Workshop on Silicon Errors in Logic - System Effects (SELSE) (Palo Alto, CA, 26. März 2013 - 27. März 2013)
In: Proc. 9th Workshop on Silicon Errors in Logic - System Effects, München: 2013
URL: http://www.selse.org
BibTeX: Download
, , , , , , , , , , , , , , , , :
Ethernet and IP for Automotive E/E-Architectures - Technology, Analysis, Migration Concepts and Infrastructure (Dissertation, 2013)
BibTeX: Download
:
Code Generation for GPU Accelerators from a Domain-Specific Language for Medical Imaging (Dissertation, 2013)
BibTeX: Download
:
Strategies for finding good local guides in multi-objective particle swarm optimization (MOPSO)
2003 IEEE Swarm Intelligence Symposium, SIS 2003
DOI: 10.1109/SIS.2003.1202243
BibTeX: Download
, :
A Decomposition of the Max-min Fair Curriculum-based Course Timetabling Problem: The Impact of Solving Subproblems to Optimality
6th Multidisciplinary International Scheduling Conference: Theory and Applications (MISTA) (Gent)
In: Proc. 6th Multidisciplinary International Scheduling Conference: Theory and Applications 2013
URL: http://www12.informatik.uni-erlangen.de/people/rwanka/publications/MW13.php
BibTeX: Download
, :
Reliable Energy-Efficient Dynamic TDMA MAC Protocol for Wireless Body Area Networks
In: International journal of application or innovation in engineering & management (2013)
ISSN: 2319-4847
BibTeX: Download
, :
Migration Strategies for Ethernet-based E/E Architectures
Embedded World Conference (Nuremberg, 26. Februar 2013 - 28. Februar 2013)
In: Proc. Embedded World Conference, Nuremberg, Germany: 2013
BibTeX: Download
, , :
Szenarienbasierte Integration von Diagnosefunktionalität in E/E Architekturen
Automotive meets Electronics (AmE) (Dortmund, 19. Februar 2013 - 20. Februar 2013)
In: Proc. Automotive meets Electronics, GMM Fachbericht 75, Berlin, Germany: 2013
BibTeX: Download
, , , :
Timing Analysis of Ethernet AVB-based Automotive E/E Architectures
IEEE International Conference on Emerging Technology & Factory Automation (ETFA) (Cagliari, 10. September 2013 - 13. September 2013)
In: Proc. IEEE International Conference on Emerging Technology & Factory Automation, Red Hook, NY, USA: 2013
DOI: 10.1109/ETFA.2013.6648024
URL: http://www.etfa2013.org
BibTeX: Download
, , , , :
NoC Simulation in Heterogeneous Architectures for PGAS Programming Model
16th International Workshop on Software and Compilers for Embedded Systems (M-SCOPES) (St. Goar, 19. Juni 2013 - 21. Juni 2013)
In: Proc. 16th International Workshop on Software and Compilers for Embedded Systems, New York, NY, USA: 2013
DOI: 10.1145/2463596.2463606
BibTeX: Download
, , , , :
Real-Time Range Image Preprocessing on FPGAs
International Conference on Reconfigurable Computing and FPGAs (ReConFig) (Cancun, 9. Dezember 2013 - 11. Dezember 2013)
In: Proc. International Conference on Reconfigurable Computing and FPGAs 2013
DOI: 10.1109/ReConFig.2013.6732325
BibTeX: Download
, , , :
Exploiting Independent Subformulas: A Faster Approximation Scheme for #k-SAT
In: Information Processing Letters 113 (2013), S. 337-344
ISSN: 0020-0190
DOI: 10.1016/j.ipl.2013.02.013
BibTeX: Download
, :
Particles Prefer Walking Along the Axes: Experimental Insights into the Behavior of a Particle Swarm
Genetic and Evolutionary Computation Conference (GECCO) (Amsterdam, 6. Juli 2013 - 10. Juli 2013)
In: Companion of Proc. 15th Genetic and Evolutionary Computation Conference 2013
DOI: 10.1145/2464576.2464583
BibTeX: Download
, :
Particle Swarm Optimization Almost Surely Finds Local Optima
Genetic and Evolutionary Computation Conference (GECCO) (Amsterdam)
In: Proc. 15th Genetic and Evolutionary Computation Conference 2013
DOI: 10.1145/2463372.2463563
BibTeX: Download
, :
Accuracy and Performance Analysis of Harris Corner Computation on Tightly-Coupled Processor Arrays
2013 Conference on Design and Architectures for Signal and Image Processing (DASIP) (Cagliari, 8. Oktober 2013 - 10. Oktober 2013)
In: Proc. 2013 Conference on Design and Architectures for Signal and Image Processing, New York, NY, USA: 2013
BibTeX: Download
, , , :
A Prototype of an Adaptive Computer Vision Algorithm on MPSoC Architecture
2013 Conference on Design and Architectures for Signal and Image Processing (DASIP) (Cagliari, 8. Oktober 2013 - 10. Oktober 2013)
In: Proc. 2013 Conference on Design and Architectures for Signal and Image Processing, New York, NY, USA: 2013
BibTeX: Download
, , , :
Acceleration of Optical Flow Computations on Tightly-Coupled Processor Arrays
25th Workshop on Parallel Systems and Algorithms (PARS) (Erlangen)
In: Proc. 25th Workshop on Parallel Systems and Algorithms, Berlin, Germany: 2013
BibTeX: Download
, , , , , , , , :
Exploitation of Quality/Throughput Tradeoffs in Image Processing through Invasive Computing
International Conference on Parallel Computing (ParCo) (Munich, 10. September 2013 - 13. September 2013)
In: Proc. International Conference on Parallel Computing 2013
BibTeX: Download
, , , :
Invasive Computing - Common Terms and Granularity of Invasion
Cornell: Cornell University Library, 2013
(Dagstuhl Preprint Serie)
URL: http://arxiv.org/pdf/1304.6067v1.pdf
BibTeX: Download
, , :
Symbolic Parallelization of Loop Programs for Massively Parallel Processor Arrays
24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (Washington, DC, 5. Juni 2013 - 7. Juni 2013)
In: Proc. 24th International Conference on Application-Specific Systems, Architectures and Processors, New York, NY, USA: 2013
DOI: 10.1109/ASAP.2013.6567543
BibTeX: Download
, , :
Decomposing Run-time Resource Management in Heterogeneous Reconfigurable Systems
Workshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) (Porto)
In: Proc. Workshop on Self-Awareness in Reconfigurable Computing Systems 2013
BibTeX: Download
, :
Game-Theoretic Analysis of Decentralized Core Allocation Schemes on Many-core Systems
Design, Automation and Test in Europe (DATE) (Grenoble, 18. März 2013 - 22. März 2013)
In: Proc. Design, Automation and Test in Europe, New York, NY, USA: 2013
DOI: 10.7873/DATE.2013.305
BibTeX: Download
, , :
On robust task-accurate performance estimation
50th Annual Design Automation Conference, DAC 2013 (Austin, TX, 2. Juni 2013 - 6. Juni 2013)
In: Proceedings of the 50th Design Automation Conference (DAC 2013) 2013
DOI: 10.1145/2463209.2488945
BibTeX: Download
, , , , :
On confident task-accurate performance estimation
26th International Conference on Architecture of Computing Systems, ARCS 2013 (Prague)
In: Proceedings of the International Conference on Architecture of Computing Systems (ARCS) 2013
DOI: 10.1007/978-3-642-36424-2_3
BibTeX: Download
, , , , :
AUTO-GS: Self-optimization of NoC Traffic Through Hardware Managed Virtual Connections
16th Euromicro Conference on Digital System Design (Cantabria, 4. September 2013 - 6. September 2013)
In: Proc. 16th Euromicro Conference on Digital System Design 2013
DOI: 10.1109/DSD.2013.87
BibTeX: Download
, , , , , , :
Representing mapping and scheduling decisions within dataflow graphs
2013 16th Forum on Specification and Design Languages, FDL 2013 (Paris, 24. September 2013 - 26. September 2013)
In: Proceedings of Forum on Specification & Design Languages (FDL 2013) 2013
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84891286674&origin=inward
BibTeX: Download
, , , , :
Model-Based Representation of Schedules for Dataflow Graphs
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013) (Rostock, 12. März 2013 - 14. März 2013)
In: Proceedings of Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013) 2013
BibTeX: Download
, , , :
Bridging Algorithm and ESL Design: Matlab/Simulink Model Transformation and Validation
Forum on Specification & Design Languages (FDL) (Paris, 24. September 2013 - 26. September 2013)
In: Proc. Forum on Specification & Design Languages, New York, NY, USA: 2013
BibTeX: Download
, , , :
HW/SW Tradeoffs for Dynamic Message Scheduling in Controller Area Network (CAN)
26th International Conference on Architecture of Computing Systems (ARCS) (Prague, 19. Februar 2013 - 22. Februar 2013)
In: Proc. 26th International Conference on Architecture of Computing Systems, New York, NY, USA: 2013
DOI: 10.1007/978-3-642-36424-2_14
BibTeX: Download
, , :
Self-organizing Core Allocation
Parallel-Algorithmen, -Rechnerstrukturen und -Systemsoftware (PARS) (Erlangen, 11. April 2013 - 12. April 2013)
In: Proc. Parallel-Algorithmen, -Rechnerstrukturen und -Systemsoftware 2013
BibTeX: Download
, , :
A Fast and Accurate Fault Tree Analysis Based on Stochastic Logic Implemented on Field-Programmable Gate Arrays
In: IEEE Transactions on Reliability 62 (2012), S. 13-22
ISSN: 0018-9529
DOI: 10.1109/TR.2012.2221012
BibTeX: Download
, :
Multi-Valued Decision Diagrams for the Verification of Consistency in Automotive Product Data
QSIC 2012 (Xi'an, 27. August 2012 - 29. August 2012)
In: Proceedings of 12th International Conference on Quality Software (IEEE) 2012
DOI: 10.1109/QSIC.2012.43
URL: http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6319247
BibTeX: Download
, , , , :
On-the-fly Composition of FPGA-Based SQL Query Accelerators Using A Partially Reconfigurable Module Library
IEEE International Field-Programmable Custom Computing Machines Symposium (FCCM) (Toronto, 29. April 2012 - 1. Mai 2012)
In: Proc. of the IEEE International Field-Programmable Custom Computing Machines Symposium (FCCM), New York, NY, USA: 2012
DOI: 10.1109/FCCM.2012.18
BibTeX: Download
, , :
Considering Diagnosis Functionality during Automatic System-Level Design of Automotive Networks
The 49th Annual Design Automation Conference 2012 (DAC) (San Francisco, CA, 3. Juni 2012 - 7. Juni 2012)
In: Proc. of The 49th Annual Design Automation Conference 2012 (DAC), New York, NY, USA: 2012
DOI: 10.1145/2228360.2228400
BibTeX: Download
, , , :
Memory mapped SPM: Protecting instruction scratchpad memory in embedded systems against soft errors
9th European Dependable Computing Conference, EDCC 2012 (Sibiu, 8. Mai 2012 - 11. Mai 2012)
In: Proceedings of the 9th European Dependable Computing Conference (EDCC 2012) 2012
DOI: 10.1109/EDCC.2012.13
BibTeX: Download
, , , :
Dynamic Defragmentation of Reconfigurable Devices
In: ACM Transactions on Reconfigurable Technology and Systems 5 (2012), S. 1-20
ISSN: 1936-7406
DOI: 10.1145/2209285.2209287
BibTeX: Download
, , , , , , , :
An Integrated Simulation Framework for Invasive Computing
Forum on Specification & Design Languages (FDL) (Vienna, 18. September 2012 - 20. September 2012)
In: Proc. of the Forum on Specification & Design Languages (FDL), New York, NY, USA: 2012
BibTeX: Download
, , , , , , , , :
Model-Based Virtual Prototype Acceleration
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31 (2012), S. 1572-1585
ISSN: 0278-0070
DOI: 10.1109/TCAD.2012.2205148
BibTeX: Download
, , :
A Co-simulation Approach for System-Level Analysis of Embedded Control Systems
2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS) (Samos, 16. Juli 2012 - 19. Juli 2012)
In: Proc. of the 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), New York, NY, USA: 2012
DOI: 10.1109/SAMOS.2012.6404200
BibTeX: Download
, , :
Cross-Level Compositional Reliability Analysis for Embedded Systems
31st International Conference on Computer Safety, Reliability, and Security (SAFECOMP) (Magdeburg, 25. September 2012 - 28. September 2012)
In: Proc. of the 31st International Conference on Computer Safety, Reliability, and Security (SAFECOMP), Berlin; Heidelberg: 2012
DOI: 10.1007/978-3-642-33678-2_10
BibTeX: Download
, , , :
Unreliable Data Transmissions and Limited Hardware Communication Buffers in Automotive E/E Virtual Prototypes
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV) (Kaiserslautern, 5. März 2012 - 7. März 2012)
In: Tagungsunterlagen Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), Hamburg, Germany: 2012
BibTeX: Download
, , :
Considering MOST150 during Virtual Prototyping of Automotive E/E Architectures
Automotive meets Electronics (AmE), GMM Fachbericht 72 (Dortmund)
In: Proc. of Automotive meets Electronics (AmE), GMM Fachbericht 72, Berlin, Germany: 2012
BibTeX: Download
, , , :
Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS
26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW) (Shanghai, 21. Mai 2012 - 25. Mai 2012)
In: Proc. of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), New York, NY, USA: 2012
DOI: 10.1109/IPDPSW.2012.25
BibTeX: Download
, , , , , , , :
Invasive Manycore Architectures
17th Asia and South Pacific Design Automation Conference (ASP-DAC) (Sydney, 30. Januar 2012 - 2. Februar 2012)
In: Proc. of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), New York, NY, USA: 2012
DOI: 10.1109/ASPDAC.2012.6164944
BibTeX: Download
, , , , , , , , , , , :
Virtual prototyping for efficient multi-core ECU development of driver assistance systems
2012 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2012 (Samos, 16. Juli 2012 - 19. Juli 2012)
In: Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XII) 2012
DOI: 10.1109/SAMOS.2012.6404155
BibTeX: Download
, , , , :
Partial Reconfiguration on FPGAs in Practice - Tools and Applications
25th International Conference on Architecture of Computing Systems (ARCS) (Munich, 28. Februar 2012 - 2. März 2012)
In: Proc. of the 25th International Conference on Architecture of Computing Systems (ARCS), New York, NY, USA: 2012
BibTeX: Download
, , , , , , , , :
Hierarchical Power Management for Adaptive Tightly-Coupled Processor Arrays
In: ACM Transactions on Design Automation of Electronic Systems 18 (2012), S. 1-25
ISSN: 1084-4309
DOI: 10.1145/2390191.2390193
BibTeX: Download
, , , , , :
Design of low power on-chip processor arrays
2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2012 (Delft, 9. Juli 2012 - 11. Juli 2012)
In: Proceedings of the 23rd IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP) 2012
DOI: 10.1109/ASAP.2012.10
BibTeX: Download
, , , , :
FlexRay Static Segment Scheduling
In: Advances in Real-Time Systems, Berlin; Heidelberg: Springer-Verlag, 2012, S. 323-339
ISBN: 978-3-642-24348-6
BibTeX: Download
, , , :
Automatic Optimization of In-Flight Memory Transactions for GPU Accelerators based on a Domain-Specific Language for Medical Imaging
11th International Symposium on Parallel and Distributed Computing (ISPDC) (Munich, 25. Juni 2012 - 29. Juni 2012)
In: Proc. of the 11th International Symposium on Parallel and Distributed Computing (ISPDC), New York, NY, USA: 2012
DOI: 10.1109/ISPDC.2012.36
BibTeX: Download
, , , , :
Generating Device-specific GPU Code for Local Operators in Medical Imaging
26th IEEE International Parallel and Distributed Processing Symposium (IPDPS) (Shanghai, 21. Mai 2012 - 25. Mai 2012)
In: Proc. of the 26th IEEE International Parallel and Distributed Processing Symposium (IPDPS), New York, NY, USA: 2012
DOI: 10.1109/IPDPS.2012.59
BibTeX: Download
, , , , :
Mastering Software Variant Explosion for GPU Accelerators
In: Proceedings of the 10th International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogeneous Platforms (HeteroPar), Berlin; Heidelberg: Springer, 2012, S. 123-132 (Lecture Notes on Computer Science (LNCS))
DOI: 10.1007/978-3-642-36949-0_15
BibTeX: Download
, , , , :
Towards Domain-specific Computing for Stencil Codes in HPC
2nd International Workshop on Domain-Specific Languages and High-Level Frameworks for High Performance Computing (WOLFHPC) (Salt Lake City, UT, 10. November 2012 - 16. November 2012)
In: Proceedings of the 2nd International Workshop on Domain-Specific Languages and High-Level Frameworks for High Performance Computing (WOLFHPC) 2012
DOI: 10.1109/SC.Companion.2012.136
BibTeX: Download
, , , :
Dynamic Task-Scheduling and Resource Management for GPU Accelerators in Medical Imaging
25th International Conference on Architecture of Computing Systems (ARCS) (Munich, 28. Februar 2012 - 2. März 2012)
In: Proc. of the 25th International Conference on Architecture of Computing Systems (ARCS), New York, NY, USA: 2012
DOI: 10.1007/978-3-642-28293-5_13
BibTeX: Download
, , , , , :
Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach
Design, Automation and Test in Europe (DATE) (Dresden, Germany, 12. März 2012 - 16. März 2012)
In: Proc. of Design, Automation and Test in Europe (DATE), New York, NY, USA: 2012
BibTeX: Download
, , , , :
A Prototype of an Invasive Tightly-Coupled Processor Array
2012 Conference on Design and Architectures for Signal and Image Processing (DASIP) (Karlsruhe, 23. Oktober 2012 - 25. Oktober 2012)
In: Proc. of the 2012 Conference on Design and Architectures for Signal and Image Processing (DASIP), New York, NY, USA: 2012
BibTeX: Download
, , , , , :
Fairness in Academic Timetabling
9th Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) (Son, 28. August 2012 - 31. August 2012)
In: Proc. 9th Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) 2012
BibTeX: Download
, :
The Spectral Relation between the Cube-Connected Cycles and the Shuffle-Exchange Network
10th Workshop on Parallel Systems and Algorithms (PASA) of the 25th Int. Conf. on Architecture of Computing Systems (ARCS) (München)
In: GI (Hrsg.): Proc. 10th Workshop on Parallel Systems and Algorithms (PASA) of the 25th Int. Conf. on Architecture of Computing Systems (ARCS) 2012
BibTeX: Download
, , :
Approximate Time Functional Simulation of Resource-Aware Programming Concepts for Heterogeneous MPSoCs
17th Asia and South Pacific Design Automation Conference (ASP-DAC) (Sydney, 30. Januar 2012 - 2. Februar 2012)
In: Proc. of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), New York, NY, USA: 2012
DOI: 10.1109/ASPDAC.2012.6164943
BibTeX: Download
, , :
Fast Architecture Evaluation of Heterogeneous MPSoCs by Host-Compiled Simulation
15th International Workshop on Software and Compilers for Embedded Systems (SCOPES) (Schloss Rheinfels, St. Goar, 15. Mai 2012 - 16. Mai 2012)
In: Proc. of the 15th International Workshop on Software and Compilers for Embedded Systems (SCOPES), New York, NY, USA: 2012
DOI: 10.1145/2236576.2236582
BibTeX: Download
, , :
Simulation of Resource-Aware Applications on Heterogeneous Architectures
8th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) (Fiuggi, 8. Juli 2012 - 14. Juli 2012)
In: Proc. of the 8th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES), Ghent, Belgium: 2012
BibTeX: Download
, , :
Eine Aktor-Orientierte Methodik zur Power-Modellierung auf Systemebene
In: Design & Elektronik (2012), S. 1-9
ISSN: 0933-8667
BibTeX: Download
, , , , , , , :
Power Management Strategies for Serial RapidIO Endpoints in FPGAs
IEEE International Field-Programmable Custom Computing Machines Symposium (FCCM) (Toronto, 29. April 2012 - 1. Mai 2012)
In: Proc. of the IEEE International Field-Programmable Custom Computing Machines Symposium (FCCM), New York, NY, USA: 2012
DOI: 10.1109/FCCM.2012.26
BibTeX: Download
, , :
Symbolic loop parallelization of static control programs
8th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES) (Fiuggi, 8. Juli 2012 - 14. Juli 2012)
In: Proc. of the 8th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES), Ghent, Belgium: 2012
BibTeX: Download
, , :
Hardware/Software Co-Design: Past, Present, and Predicting the Future
In: Proceedings of the IEEE 100 (2012), S. 1411-1430
ISSN: 0018-9219
DOI: 10.1109/JPROC.2011.2182009
BibTeX: Download
:
Invasive Computing - Concepts and Overheads
Forum on Specification & Design Languages (FDL) (Vienna, 18. September 2012 - 20. September 2012)
In: Proc. of the Forum on Specification & Design Languages (FDL), New York, NY, USA: 2012
BibTeX: Download
, , , :
Systematic Design of Self-Adaptive Embedded Systems with Applications in Image Processing (Dissertation, 2012)
BibTeX: Download
:
Placing Multi-mode Streaming Applications on Dynamically Partially Reconfigurable Architectures
In: International Journal of Reconfigurable Computing 2012 (2012), S. 1-12
ISSN: 1687-7195
DOI: 10.1155/2012/608312
BibTeX: Download
, , , :
Symbolic System-level Design Methodology for Multi-Mode Reconfigurable Systems
In: Design Automation For Embedded Systems (2012), S. 1-33
ISSN: 0929-5585
DOI: 10.1007/s10617-012-9102-1
BibTeX: Download
, , , :
System Level Synthesis Flow for Self-adaptive Multi-mode Reconfigurable Systems
Workshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) (Oslo, 1. September 2012 - 1. September 2012)
In: Proc. of the Workshop on Self-Awareness in Reconfigurable Computing Systems (SRCS) 2012
BibTeX: Download
, , , :
A very fast and quasi-accurate power-state-based system-level power modeling methodology
25th International Conference on Architecture of Computing Systems, ARCS 2012 (Munich)
In: Proceedings of the International Conference on Architecture of Computing Systems (ARCS) 2012
DOI: 10.1007/978-3-642-28293-5_4
BibTeX: Download
, , , , , , :
A model-based inter-process resource sharing approach for high-level synthesis of dataflow graphs
2nd Electronic System Level Synthesis Conference, ESLsyn 2012 (San Francisco, CA, 2. Juni 2012 - 3. Juni 2012)
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84866155902&origin=inward
BibTeX: Download
, , , :
Exploiting Model-Knowledge in High-Level Synthesis
Workshop für Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV’12) (Kaiserslautern, 5. März 2012 - 7. März 2012)
In: Workshop für Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV’12) 2012
BibTeX: Download
, , , :
Actor-oriented Modeling and Simulation of Cut-through Communication in Network Controllers
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV) (Kaiserslautern, 5. März 2012 - 7. März 2012)
In: Tagungsunterlagen Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), Hamburg, Germany: 2012
BibTeX: Download
, , , , , :
System-Level Modeling and Simulation of Networked PROFINET IO Controllers
Embedded World Conference (Nuremberg, 28. Februar 2012 - 1. März 2013)
In: Proc. of the Embedded World Conference, Kissingen, Germany: 2012
BibTeX: Download
, , , , , :
FPGA-based Testbed for Timing Behavior Evaluation of the Controller Area Network (CAN)
2012 International Conference on Reconfigurable Computing (ReConFig) (Cancun, 5. Dezember 2012 - 7. Dezember 2012)
In: Proc. of the 2012 International Conference on Reconfigurable Computing (ReConFig), New York, NY, USA: 2012
DOI: 10.1109/ReConFig.2012.6416750
BibTeX: Download
, , , :
Improving Performance of Controller Area Network (CAN) by Adaptive Message Scheduling
In: Self-Organization in Embedded Real-Time Systems, Berlin; Heidelberg: Springer-Verlag, 2012, S. 95-120
ISBN: 978-1-4614-1968-6
BibTeX: Download
, , :
Distributed self-organizing bandwidth allocation for priority-based bus communication
In: Concurrency and Computation-Practice & Experience 24 (2012), S. 1903-1917
ISSN: 1532-0626
DOI: 10.1002/cpe.1759
BibTeX: Download
, , , :
A fault-tolerant, dynamically scheduled pipeline structure for chip multiprocessors
30th International Conference on Computer Safety, Reliability and Security, SAFECOMP 2011 (Naples)
In: Proceedings of the 30th International Conference on Computer Safety, Reliability and Security (SAFECOMP'11) 2011
DOI: 10.1007/978-3-642-24270-0_24
BibTeX: Download
, :
An efficient, dynamically adaptive method to tolerate transient faults in multi-core systems
13th European Workshop on Dependable Computing, EWDC 2011 (Pisa)
In: roceedings of the 13th European Workshop on Dependable Computing (EWDC '11) 2011
DOI: 10.1145/1978582.1978594
BibTeX: Download
, :
Fault tree analysis using stochastic logic: A reliable and high speed computing
Annual Reliability and Maintainability Symposium, RAMS 2011 (Lake Buena Vista, FL)
DOI: 10.1109/RAMS.2011.5754466
BibTeX: Download
, :
CPU-aware, process-level redundancy to tolerate faults in multi-core
2011 International Conference on High Performance Computing and Simulation, HPCS 2011 (Istanbul)
DOI: 10.1109/HPCSim.2011.5999844
BibTeX: Download
, , :
Bitonic Sorting on Dynamically Reconfigurable Architectures
IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW) (Anchorage, AL, 16. Mai 2011 - 20. Mai 2011)
In: Proc. IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW), New York, NY, USA: 2011
DOI: 10.1109/IPDPS.2011.164
BibTeX: Download
, , , :
Runtime Stress-Aware Replica Placement on Reconfigurable Devices under Safety Constraints
International Conference on Field-Programmable Technology (FPT'11) (New Delhi, 12. Dezember 2011 - 14. Dezember 2011)
In: Proceedings of the International Conference on Field-Programmable Technology, New York, NY, USA: 2011
DOI: 10.1109/FPT.2011.6133247
BibTeX: Download
, , , :
Stress-Aware Module Placement on Reconfigurable Devices
International Conference on Field Programmable Logic and Applications (FPL'11) (Chania, Crete, 5. September 2011 - 7. September 2011)
In: Proceedings of the International Conference on Field-Programmable Logic and Applications, New York, NY, USA: 2011
DOI: 10.1109/FPL.2011.56
BibTeX: Download
, , , :
Towards Symbolic Run-Time Reconfiguration in Tightly-Coupled Processor Arrays
2011 International Conference on Reconfigurable Computing and FPGAs (ReConFig'11) (Cancun, 30. November 2011 - 2. Dezember 2011)
In: Proc. of ReConFig, New York, NY, USA: 2011
DOI: 10.1109/ReConFig.2011.91
URL: http://www.computer.org/portal/web/csdl/doi/10.1109/ReConFig.2011.91
BibTeX: Download
, , , :
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP)
New York, NY, USA: 2011
ISBN: 978-1-4577-1292-0
BibTeX: Download
, , , , , (Hrsg.):
Synthesis and Exploration of Loop Accelerators for Systems-on-a-Chip (Dissertation, 2011)
BibTeX: Download
:
A rule-based static dataflow clustering algorithm for efficient embedded software synthesis
14th Design, Automation and Test in Europe Conference and Exhibition, DATE 2011 (Grenoble, 14. März 2011 - 18. März 2011)
In: Proceedings of Design, Automation and Test in Europe (DATE'11) 2011
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=79957549287&origin=inward
BibTeX: Download
, , , :
Automatic System-Level Synthesis: From Formal Application Models to Generic Bus-Based MPSoCs
In: LNCS Transactions on High-Performance Embedded Architectures and Compilers 5 (2011), S. 1-22
ISSN: 1864-306X
BibTeX: Download
, , , , :
Dependability-Aware System-Level Design for Embedded Systems (Dissertation, 2011)
BibTeX: Download
:
Analyzing Automotive Networks using Virtual Prototypes
Automotive meets Electronics (AmE), GMM Fachbericht 69 (Dortmund, Germany)
In: Proceedings of the Automotive meets Electronics (AmE2011), GMM Fachbericht 69, Berlin: 2011
BibTeX: Download
, , , :
Resource-Aware Programming and Simulation of MPSoC Architectures through Extension of X10
14th International Workshop on Software and Compilers for Embedded Systems (St. Goar, 27. Juni 2011 - 28. Juni 2011)
In: Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems, New York, NY, USA: 2011
DOI: 10.1145/1988932.1988941
BibTeX: Download
, , , , :
Velocity Adaptation in Particle Swarm Optimization
In: Handbook of Swarm Intelligence, Heidelberg: Springer, 2011, S. 155-173 (Adaptation, Learning, and Optimization (ALO), Bd.8)
DOI: 10.1007/978-3-642-17390-5_7
URL: https://www12.cs.fau.de/people/rwanka/publications/HNW11.php
BibTeX: Download
, , :
Design and Architectures for Dependable Embedded Systems
9th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '11) (Taipei, 9. Oktober 2011 - 14. Oktober 2011)
In: Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '11), New York, NY, USA: 2011
DOI: 10.1145/2039370.2039384
BibTeX: Download
, , , , , , , , , , , , , , , , , , , , , :
Discrete Particle Swarm Optimization for TSP: Theoretical Results and Experimental Evaluations
International Conference on Adaptive and Intelligent Systems (ICAIS) (Klagenfurt)
In: Bouchachia A. (Hrsg.): Proc. International Conference on Adaptive and Intelligent Systems (ICAIS), Berlin, Heidelberg: 2011
DOI: 10.1007/978-3-642-23857-4_40
BibTeX: Download
, , , :
Design of Image Processing Embedded Systems Using Multidimensional Data Flow
Heidelberg: Springer-Verlag, 2011
(Embedded Systems)
ISBN: 978-1-4419-7181-4
URL: http://www.springer.com/engineering/circuits+%26+systems/book/978-1-4419-7181-4
BibTeX: Download
, :
Gateway Strategies for Embedding of Automotive CAN-frames into Ethernet-packets and Vice Versa
24th International Conference on Architecture of Computing Systems (ARCS'11) (Lake Como, 24. Februar 2011 - 25. Februar 2011)
In: Proceedings of the 24th International Conference on Architecture of Computing Systems, Berlin; Heidelberg: 2011
DOI: 10.1007/978-3-642-19137-4_22
BibTeX: Download
, , , :
An Automated Data Structure Migration Concept - From CAN to Ethernet/IP in Automotive Embedded Systems (CANoverIP)
Design, Automation and Test in Europe (DATE'11) (Grenoble, 14. März 2011 - 18. März 2011)
In: Proc. of DATE, New York, NY, USA: 2011
URL: http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5763027
BibTeX: Download
, , :
Testing Switched Ethernet Networks in Automotive Embedded Systems
6th IEEE International Symposium on Industrial Embedded Systems (SIES'11) (Västeras, 15. Juni 2011 - 17. Juni 2011)
In: Proceedings of the 6th IEEE International Symposium on Industrial Embedded Systems (SIES'11), New York, NY, USA: 2011
DOI: 10.1109/SIES.2011.5953657
BibTeX: Download
, , , :
Accuracy of Ethernet AVB Time Synchronization Under Varying Temperature Conditions for Automotive Networks
ACM/EDAC/IEEE Design Automation Conference (DAC'11) (San Diego, California, 5. Juni 2011 - 9. Juni 2011)
In: Proceedings of the 2011 ACM/EDAC/IEEE Design Automation Conference (DAC'11), New York, NY, USA: 2011
DOI: 10.1145/2024724.2024862
BibTeX: Download
, , , , :
Low cost concurrent error detection for on-chip memory based embedded processors
2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing, EUC 2011 (Melbourne, VIC)
In: Proceedings of the 9th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing (EUC 2011) 2011
DOI: 10.1109/EUC.2011.47
BibTeX: Download
, , , :
Calibration and validation of software performance models for pedestrian detection systems
2011 11th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2011 (Samos)
In: Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XI) 2011
DOI: 10.1109/SAMOS.2011.6045460
BibTeX: Download
, , , , :
Power-Efficient Tightly-Coupled Processor Arrays for Digital Signal Processing (Dissertation, 2011)
BibTeX: Download
:
Scalable Many-Domain Power Gating in Coarse-grained Reconfigurable Processor Arrays
In: IEEE Embedded Systems Letters 3 (2011), S. 58-61
ISSN: 1943-0663
DOI: 10.1109/LES.2011.2124438
BibTeX: Download
, , , , :
Efficient Evaluation of Power/Area/Latency Design Trade-offs for Coarse-Grained Reconfigurable Processor Arrays
In: Journal of Low Power Electronics 7 (2011), S. 29-40
ISSN: 1546-1998
DOI: 10.1166/jolpe.2011.1114
BibTeX: Download
, , :
Towards Resource-Aware Programming on Intel's Single-Chip Cloud Computer Processor
3rd Many-core Applications Research Community (MARC) Symposium (Ettlingen, 5. Juli 2011 - 6. Juli 2011)
In: Proceedings of the 3rd MARC Symposium, Karlsruhe, Germany: 2011
DOI: 10.5445/KSP/1000023937
BibTeX: Download
, , , :
Automatic generation of system-level virtual prototypes from streaming application models
2011 22nd IEEE International Symposium on Rapid System Prototyping: Shortening the Path from Specification to Prototype, RSP-2011 (Karlsruhe)
In: Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping 2011
DOI: 10.1109/RSP.2011.5929986
BibTeX: Download
, , , :
Actor-oriented Modeling of Industrial Ethernet in the Automation Domain Using SystemC
Embedded World Conference (Nuermberg, 1. März 2011 - 3. März 2011)
In: Proceedings of the Embedded World Conference 2011
BibTeX: Download
, , , , :
Distributed Resource Reservation in Massively Parallel Processor Arrays
25th IEEE International Symposium on Parallel and Distributed Processing (IPDPS'11) (Anchorage, AK, 16. Mai 2011 - 20. Mai 2011)
In: Proc. of the 25th IEEE International Symposium on Parallel and Distributed Processing, New York, NY, USA: 2011
DOI: 10.1109/IPDPS.2011.157
BibTeX: Download
, , :
Decentralized Dynamic Resource Management Support for Massively Parallel Processor Arrays
22nd IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP'11) (Santa Monica, CA, 11. September 2011 - 14. September 2011)
In: Proc. of the 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors, New York, NY, USA: 2011
DOI: 10.1109/ASAP.2011.6043240
BibTeX: Download
, , , :
Opt4J - A Modular Framework for Meta-heuristic Optimization
13th Annual Genetic and Evolutionary Computation Conference (GECCO'11) (Dublin, 12. Juli 2011 - 16. Juli 2011)
In: Proc. of the 13th Annual Genetic and Evolutionary Computation Conference, New York, NY, USA: 2011
DOI: 10.1145/2001576.2001808
BibTeX: Download
, , , :
The Erlangen Slot Machine - An FPGA-Based Partially Reconfigurable Computer (Dissertation, 2011)
BibTeX: Download
:
Mapping of Applications to MPSoCs
9th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11) (Taipei, 9. Oktober 2011 - 14. Oktober 2011)
In: Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, part of ESWeek'11, New York, NY, USA: 2011
DOI: 10.1145/2039370.2039390
BibTeX: Download
, , , , , , , , :
Frameworks for GPU Accelerators: A Comprehensive Evaluation using 2D/3D Image Registration
9th IEEE Symposium on Application Specific Processors (SASP) (San Diego, CA, USA, 5. Juni 2011 - 6. Juni 2011)
In: Proceedings of the 9th IEEE Symposium on Application Specific Processors (SASP) 2011
DOI: 10.1109/SASP.2011.5941083
BibTeX: Download
, , , , :
Frameworks for Multi-core Architectures: A Comprehensive Evaluation using 2D/3D Image Registration
24th International Conference on Architecture of Computing Systems (ARCS) (Lake Como, 24. Februar 2011 - 25. Februar 2011)
In: Proceedings of the 24th International Conference on Architecture of Computing Systems (ARCS), Heidelberg: 2011
DOI: 10.1007/978-3-642-19137-4_6
BibTeX: Download
, , , , :
Detector Defect Correction of Medical Images on Graphics Processors
SPIE: Medical Imaging : Image Processing (Lake Buena Vista, Orlando, FL, 14. Februar 2011 - 16. Februar 2011)
In: Proceedings of the SPIE: Medical Imaging 2011: Image Processing 2011
DOI: 10.1117/12.877656
BibTeX: Download
, , , , :
Generating GPU Code from a High-level Representation for Image Processing Kernels
5th Workshop on Highly Parallel Processing on a Chip (HPPC) (Bordeaux)
In: Proceedings of the 5th Workshop on Highly Parallel Processing on a Chip (HPPC) 2011
BibTeX: Download
, , :
A Co-Simulation Approach for Control Performance Analysis during Design Space Exploration of Cyber-Physical Systems
2nd International Conference on Cyber Physical Systems (ICCPS 2011)
In: ACM SIGBED Review - Work-in-Progress (WiP) Session of the 2nd International Conference on Cyber Physical Systems (ICCPS 2011) 2011
DOI: 10.1145/2000367.2000372
BibTeX: Download
, , , :
Heterogeneous Constraint Handling for Particle Swarm Optimization
IEEE Swarm Intelligence Symposium (SIS) (Paris, 11. April 2011 - 15. April 2011)
In: Proc. IEEE Swarm Intelligence Symposium (SIS), New York, NY, USA: 2011
DOI: 10.1109/SIS.2011.5952578
BibTeX: Download
, , , :
Symbolic system synthesis in the presence of stringent real-time constraints
2011 48th ACM/EDAC/IEEE Design Automation Conference, DAC 2011 (San Diego, CA, 5. Juni 2011 - 10. Juni 2011)
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=80052678758&origin=inward
BibTeX: Download
, , , , :
ESL Power and Performance Estimation for Heterogeneous MPSoCs Using SystemC
Forum on specification and Design Languages 2011 (Oldenbúrg, 13. September 2011 - 15. September 2011)
In: Forum on specification and Design Languages 2011 2011
BibTeX: Download
, , , , :
Invasive Computing: An Overview
In: M. Hübner and J. Becker (Hrsg.): Multiprocessor System-on-Chip - Hardware Design and Tool Integration, New York: Springer, 2011, S. 241-268
ISBN: 978-1-4419-6459-5
DOI: 10.1007/978-1-4419-6460-1_11
URL: http://invasic.informatik.uni-erlangen.de/publications/invasic-overview.pdf
BibTeX: Download
, , , , , :
Verifying the Authorship of Embedded IP Cores: Watermarking and Core Identification Techniques (Keynote)
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11) (Las Vegas)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms 2011
URL: http://ersaconf.org/ersa11/program/teich.php
BibTeX: Download
, :
Parallel Sorting - The Need for Speed
In: Algorithms Unplugged, Heidelberg: Springer, 2011, S. 27-37
ISBN: 978-3-642-15327-3
DOI: 10.1007/978-3-642-15328-0_4
BibTeX: Download
:
RITK: The Range Imaging Toolkit - A Framework for 3-D Range Image Stream Processing
VMV 2011: Vision, Modeling & Visualization (Berlin, 4. Oktober 2011)
In: Eisert Peter, Hornegger Joachim, Polthier Konrad (Hrsg.): VMV 2011: Vision, Modeling & Visualization 2011
DOI: 10.2312/PE/VMV/VMV11/057-064
URL: http://www5.informatik.uni-erlangen.de/Forschung/Publikationen/2011/Wasza11-RTR.pdf
BibTeX: Download
, , , , , :
Dynamic Decentralized Mapping of Tree-Structured Applications on NoC Architectures
Fifth ACM/IEEE International Symposium on Networks-on-Chip (NOCS'11) (Pittsburgh, 1. Mai 2011 - 4. Mai 2011)
In: Proc. Fifth ACM/IEEE International Symposium on Networks-on-Chip, New York, NY, USA: 2011
DOI: 10.1145/1999946.1999979
BibTeX: Download
, , :
Operational Mode Exploration for Reconfigurable Systems with Multiple Applications
International Conference on Field-Programmable Technology (FPT'11) (New Delhi, 12. Dezember 2011 - 14. Dezember 2011)
In: Proceedings of the International Conference on Field-Programmable Technology, New York, NY, USA: 2011
DOI: 10.1109/FPT.2011.6132693
BibTeX: Download
, , , :
Symbolic Design Space Exploration for Multi-Mode Reconfigurable Systems
9th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'11) (Taipei, 9. Oktober 2011 - 14. Oktober 2011)
In: Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, part of ESWeek'11, New York, NY, USA: 2011
DOI: 10.1145/2039370.2039393
BibTeX: Download
, , , :
Unifying Partitioning and Placement for SAT-based Exploration of Heterogeneous Reconfigurable SoCs
International Conference on Field Programmable Logic and Applications (FPL'11) (Chania, Crete, 5. September 2011 - 7. September 2011)
In: Proc. of the International Conference on Field Programmable Logic and Applications, New York, NY, USA: 2011
DOI: 10.1109/FPL.2011.85
BibTeX: Download
, , :
A Flexible Smart Camera System based on a Partially Reconfigurable Dynamic FPGA-SoC
Workshop on Computer Vision on Low-Power Reconfigurable Architectures at FPL 2011 (Chania, Crete, 4. September 2011 - 4. September 2011)
In: Proceedings of the Workshop on Computer Vision on Low-Power Reconfigurable Architectures at FPL 2011 2011
BibTeX: Download
, , , , :
DynOAA - Dynamic Offset Adaptation Algorithm for Improving Response Times of CAN Systems
Design, Automation and Test in Europe (DATE'11) (Grenoble, 14. März 2011 - 18. März 2011)
In: Proc. of DATE, New York, NY, USA: 2011
URL: http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5763272
BibTeX: Download
, , :
Self-organized Message Scheduling for Asynchronous Distributed Embedded Systems
8th International Conference on Autonomic and Trusted Computing (ATC'11) (Banff, 2. September 2011 - 4. September 2011)
In: Proc. of the 8th International Conference on Autonomic and Trusted Computing, Heidelberg: 2011
DOI: 10.1007/978-3-642-23496-5_10
BibTeX: Download
, , :
An FPGA Implementation of a Threat-based Strategy for Connect6
International Conference on Field-Programmable Technology (FPT'11) (New Delhi, 12. Dezember 2011 - 14. Dezember 2011)
In: Proceedings of the International Conference on Field-Programmable Technology, New York, NY, USA: 2011
DOI: 10.1109/FPT.2011.6133250
BibTeX: Download
, , , , , :
OrganicBus: Organic Self-organising Bus-Based Communication Systems
In: Organic Computing - A Paradigm Shift for Complex Systems, Basel: Birkhäuser Verlag, 2011, S. 489-501
ISBN: 978-3-0348-0130-0
DOI: 10.1007/978-3-0348-0130-0_32
BibTeX: Download
, , :
ReCoNodes-optimization methods for module scheduling and placement on reconfigurable hardware devices
Springer Netherlands, 2010
ISBN: 9789048134847
DOI: 10.1007/978-90-481-3485-4_10
BibTeX: Download
, , , , , , , , , :
Erlangen slot machine: An FPGA-based dynamically reconfigurable computing platform
Springer Netherlands, 2010
ISBN: 9789048134847
DOI: 10.1007/978-90-481-3485-4_3
BibTeX: Download
, , , :
Virtual Area Management: Multitasking on Dynamically Partially Reconfigurable Devices
17th Reconfigurable Architectures Workshop (RAW'10) (Atlanta, 19. April 2010 - 23. April 2010)
In: Proc. 17th Reconfigurable Architectures Workshop 2010
DOI: 10.1109/IPDPSW.2010.5470754
BibTeX: Download
, , , :
Placing Streaming Applications with Similarities on Dynamically Partially Reconfigurable Architectures
International Conference on ReConFigurable Computing and FPGAs (ReConFig'10) (Cancun, 13. Dezember 2010 - 15. Dezember 2010)
In: Proc. International Conference on ReConFigurable Computing and FPGAs 2010
DOI: 10.1109/ReConFig.2010.52
BibTeX: Download
, , , :
Model-based analysis, synthesis and testing of automotive hardware/software architectures
6th Embedded Systems Week 2010, ESWEEK 2010 - 10th ACM International Conference on Compilers, Architecture and Synthesis for Embedded Systems, EMSOFT'10 (Scottsdale, AZ)
DOI: 10.1145/1879021.1879061
BibTeX: Download
, , :
Proc. 21st IEEE International Conference on Application-specific Systems, Architectures, and Processors
New York, NY, USA: 2010
ISBN: 978-1-4244-6967-3
DOI: 10.1109/ASAP.2010.5540766
BibTeX: Download
, , , (Hrsg.):
Modeling and synthesis of communication subsystems for loop accelerator pipelines
21st IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2010 (Rennes, 7. Juli 2010 - 9. Juli 2010)
In: Proceedings of the 21st IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP) 2010
DOI: 10.1109/ASAP.2010.5540760
BibTeX: Download
, , , :
Integrated Modeling Using Finite State Machines and Dataflow Graphs
In: Bhattacharyya S., Deprettere E., Leupers R., Takala J. (Hrsg.): Handbook of Signal Processing Systems, Boston, MA: Springer, 2010, S. 1041-1075
ISBN: 978-1-4419-6344-4
DOI: 10.1007/978-1-4419-6345-1_36
BibTeX: Download
, , , , :
Integrating Hardware/Firmware Verification Efforts Using SystemC High-Level Models
3. ITG/GI/GMM Workshop für Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Dresden, 22. Februar 2010 - 24. Februar 2010)
In: 3. ITG/GI/GMM Workshop für Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2010
BibTeX: Download
, , , , :
Analysis of systemc actor networks for efficient synthesis
In: ACM Transactions on Embedded Computing Systems 10 (2010), Art.Nr.: 18
ISSN: 1539-9087
DOI: 10.1145/1880050.1880054
BibTeX: Download
, , , , , :
A system-level synthesis approach from formal application models to generic bus-based MPSoCs
2010 10th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2010 (Samos, 19. Juli 2010 - 22. Juli 2010)
In: Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS) 2010
DOI: 10.1109/ICSAMOS.2010.5642076
BibTeX: Download
, , , , :
SEIS - Sicherheit in Eingebetteten IP-Basierten Systemen
In: ATZ - Automobiltechnische Zeitschrift (2010), S. 50-55
ISSN: 0001-2785
BibTeX: Download
, , , , :
Lifetime Reliability Optimization for Embedded Systems: A System-Level Approach
IEEE International Workshop on Reliability Aware System Design and Test (RASDAT '10) (Bangalore, 7. Januar 2010 - 8. Januar 2010)
In: Proceedings of IEEE International Workshop on Reliability Aware System Design and Test (RASDAT '10) 2010
BibTeX: Download
, , , :
Towards scalable system-level reliability analysis
47th Design Automation Conference, DAC '10 (Anaheim, CA, 13. Juni 2010 - 18. Juni 2010)
DOI: 10.1145/1837274.1837334
BibTeX: Download
, , , :
Symbolic system level reliability analysis
2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010 (San Jose, CA, 7. November 2010 - 11. November 2010)
In: Proceedings of the International Conference on Computer-Aided Design (ICCAD) 2010
DOI: 10.1109/ICCAD.2010.5654134
BibTeX: Download
, , , , :
A Deeply Pipelined and Parallel Architecture for Denoising Medical Images
IEEE International Conference on Field Programmable Technology (FPT'10) (Beijing, 8. Dezember 2010 - 10. Dezember 2010)
In: Proc. IEEE International Conference on Field Programmable Technology 2010
DOI: 10.1109/FPT.2010.5681464
BibTeX: Download
, , , :
ReCoNets-design methodology for embedded systems consisting of small networks of reconfigurable nodes and connections
Springer Netherlands, 2010
ISBN: 9789048134847
DOI: 10.1007/978-90-481-3485-4_11
BibTeX: Download
, , , , :
Digitale Hardware/Software-Systeme: Spezifikation und Verifikation
Berlin, Heidelberg: Springer-Verlag, 2010
BibTeX: Download
, :
Particle Swarms for Constrained Optimization (Dissertation, 2010)
URL: https://nbn-resolving.org/urn:nbn:de:bvb:29-opus-19334
BibTeX: Download
:
Leviathan: SPL Support on Filesystem Level
14th International Software Product Line Conference (SPLC-Poster 2010) (Jeju Island, South Korea, 13. September 2010 - 17. September 2010)
In: Proceedings of the 14th International Software Product Line Conference (SPLC-Poster 2010), Berlin/Heidelberg, Germany: 2010
DOI: 10.1007/978-3-642-15579-6_43
URL: http://www4.informatik.uni-erlangen.de/Publications/2010/hofer_10_splc_poster.pdf
BibTeX: Download
, , , , :
Toolchain-Independent Variant Management with the Leviathan Filesystem
2nd Workshop on Feature-Oriented Software Development (FOSD 2010) (Eindhoven, The Netherlands, 10. Oktober 2010 - 10. Oktober 2010)
In: Proceedings of the 2nd Workshop on Feature-Oriented Software Development (FOSD 2010), New York, NY, USA: 2010
DOI: 10.1145/1868688.1868692
URL: http://www4.informatik.uni-erlangen.de/Publications/2010/hofer_10_fosd.pdf
BibTeX: Download
, , , , :
Network bandwidth optimization of ethernet-based streaming applications in automotive embedded systems
2010 19th International Conference on Computer Communications and Networks, ICCCN 2010 (Zurich, 2. August 2010 - 5. August 2010)
In: Proceedings of the Internaional Conference on Computer Communication Networks (ICCCN) 2010 – Track on Network Algorithms, Performance Evaluation and Theory (NAPET) 2010
DOI: 10.1109/ICCCN.2010.5560142
BibTeX: Download
, , , , :
Actor-oriented Modeling of Driver Assistance Systems for Efficient Multi-Core ECU Implementation
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Dresden)
In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2010
BibTeX: Download
, , , , , :
Modeling, Analysis and Optimization of Automotive Networks (Dissertation, 2010)
BibTeX: Download
:
Robust Design of Embedded Systems
Design, Automation and Test in Europe (DATE'10) (Dresden, 8. März 2010 - 12. März 2010)
In: Proc. Design, Automation and Test in Europe 2010
BibTeX: Download
, , :
A Rapid Prototyping System for Error-Resilient Multi-Processor Systems-on-Chip
Design, Automation and Test in Europe (DATE'10) (Dresden, 8. März 2010 - 12. März 2010)
In: Proc. Design, Automation and Test in Europe 2010
BibTeX: Download
, , , , , , , :
Comparison of Parallelization Frameworks for Shared Memory Multi-Core Architectures
Embedded World Conference (Nuremberg, 3. März 2010 - 5. März 2010)
In: Proc. Embedded World Conference 2010
BibTeX: Download
, , , , :
A Novel Event Insertion Heuristic for Finding Feasible Solutions of Course Timetabling Problems
Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) (Belfast)
In: Proc. 8th Int. Conf. on the Practice and Theory of Automated Timetabling (PATAT) 2010
BibTeX: Download
, :
Improving Bitonic Sorting by Wire Elimination
PARS-Workshop on Parallel Systems and Architectures of the 23rd Int. Conf. on Architecture of Computing Systems (ARCS) (Hannover)
In: Proc. 23rd PARS-Workshop on Parallel Systems and Architectures of the 23rd Int. Conf. on Architecture of Computing Systems (ARCS), Berlin, Offenbach: 2010
URL: http://www12.informatik.uni-erlangen.de/people/rwanka/publications/MW10.php
BibTeX: Download
, :
A bus-based SoC architecture for flexible module placement on reconfigurable FPGAs
20th International Conference on Field Programmable Logic and Applications, FPL 2010 (Milano)
In: Proceedings of International Conference on Field-Programmable Logic and Applications (FPL'10) 2010
DOI: 10.1109/FPL.2010.54
BibTeX: Download
, , , :
Comparison and evaluation of acceleration based step length estimators for handheld devices
International Conference on Indoor Positioning and Indoor Navigation (IPIN), (Zurich, 15. September 2010 - 17. September 2010)
In: 2010 International Conference on Indoor Positioning and Indoor Navigation 2010
DOI: 10.1109/IPIN.2010.5646888
BibTeX: Download
, , , , :
Phase smoothing in a virtually synchronized pseudolite system using stochastic clock modelling
Ubiquitous Positioning Indoor Navigation and Location Based Service (UPINLBS) (Kirkkonummi, Finnland, 14. Oktober 2010 - 15. Oktober 2010)
In: Ubiquitous Positioning Indoor Navigation and Location Based Service (UPINLBS) 2010
DOI: 10.1109/UPINLBS.2010.5654340
BibTeX: Download
, , :
Dynamically Reconfigurable Systems - Architectures, Design Methods and Applications
Heidelberg: Springer, 2010
ISBN: 978-90-481-3484-7
DOI: 10.1007/978-90-481-3485-4
BibTeX: Download
, , :
Echtzeitanalyse Ethernet-basierter E/E-Architekturen im Automobil
Automotive meets Electronics (AmE'10) (Dortmund, Germany)
In: GMM-Fachbericht - Automotive meets Electronics, Berlin: 2010
BibTeX: Download
(Techreport)
, , , , :
Design and Experimental Evaluation of Multiple Adaptation Layers in Self-optimizing Particle Swarm Optimization
IEEE Congress on Evolutionary Computation (Barcelona, 18. Juli 2010 - 23. Juli 2010)
In: Proceedings of the IEEE Congress on Evolutionary Computation (CEC 2010) 2010
DOI: 10.1109/CEC.2010.5586255
URL: http://www12.informatik.uni-erlangen.de/people/rwanka/publications/RHW10.php
BibTeX: Download
, , :
Discourse on Extending Embedded Medical Image Processing Systems Using the High Speed Serial RapidIO Interconnect
Embedded World Conference (Nuremberg, 3. März 2010 - 5. März 2010)
In: Proceedings of the Embedded World Conference 2010
BibTeX: Download
, , , , , :
3-SAT on CUDA: Towards a Massively Parallel SAT Solver
High Performance Computing and Simulation Conference (HPSC) (Caen, 28. Juni 2010 - 2. Juli 2010)
In: Proc. High Performance Computing and Simulation Conference (HPSC) 2010
DOI: 10.1109/HPCS.2010.5547116
BibTeX: Download
, , , :
Interprocedural Placement-Aware Configuration Prefetching for FPGA-based Systems
18th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'10) (Charlotte, North Carolina, 2. Mai 2010 - 4. Mai 2010)
In: Proc. 18th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines 2010
DOI: 10.1109/FCCM.2010.35
BibTeX: Download
, , , , :
Efficient approximately-timed performance modeling for architectural exploration of MPSoCs
2010
ISBN: 9789048193035
DOI: 10.1007/978-90-481-9304-2_4
BibTeX: Download
, , , :
Improving platform-based system synthesis by satisfiability Modulo theories solving
6th Embedded Systems Week, ESWEEK 2010 - 8th IEEE/ACM International Conference on Hardware/Software-Co-Design and System Synthesis, CODES+ISSS'10 (Scottsdale, AZ, 24. Oktober 2010 - 29. Oktober 2010)
In: Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) 2010
DOI: 10.1145/1878961.1878986
BibTeX: Download
, , , , :
Compilation Techniques for CGRAs: Exploring All Parallelization Approaches
International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS'10) (Scottsdale, AZ, 24. Oktober 2010 - 29. Oktober 2010)
In: Proc. 8th International Conference on Hardware-Software Codesign and System Synthesis 2010
DOI: 10.1145/1878961.1878995
BibTeX: Download
, , , , , :
A novel event insertion heuristic for creating feasible course timetables
8th International Conference on the Practice and Theory of Automated Timetabling (PATAT) (Belfast)
In: Proc. 8th International Conference on the Practice and Theory of Automated Timetabling (PATAT) 2010
URL: https://www12.informatik.uni-erlangen.de/people/rwanka/publications/MW10b.php
BibTeX: Download
, :
Self-organizing computer vision for robust object tracking in smart cameras
7th International Conference on Autonomic and Trusted Computing, ATC 2010 (Xi'an, 26. Oktober 2010 - 29. Oktober 2010)
In: Proceedings of the 7th International Conference on Autonomic and Trusted Computing 2010
DOI: 10.1007/978-3-642-16576-4_1
BibTeX: Download
, , , :
Efficient high-level modeling in the networking domain
Design, Automation and Test in Europe Conference and Exhibition, DATE 2010 (Dresden, 8. März 2010 - 12. März 2010)
In: Proceedings of Design, Automation and Test in Europe (DATE 2010) 2010
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=77953092909&origin=inward
BibTeX: Download
, , , , :
Techniques for Increasing Security and Reliability of IP Cores Embedded in FPGA and ASIC Designs (Dissertation, 2010)
URL: https://opus4.kobv.de/opus4-fau/files/9273/dissertation.pdf
BibTeX: Download
:
Multiplexing Methods for Power Watermarking
IEEE Int. Symposium on Hardware-Oriented Security and Trust (HOST'10) (Anaheim, 13. Juni 2010 - 14. Juni 2010)
In: Proc. IEEE Int. Symposium on Hardware-Oriented Security and Trust 2010
DOI: 10.1109/HST.2010.5513118
BibTeX: Download
, , :
Using the Power Side Channel of FPGAs for Communication
18th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'10) (Charlotte, North Carolina, 2. Mai 2010 - 4. Mai 2010)
In: Proc. 18th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines 2010
DOI: 10.1109/FCCM.2010.43
BibTeX: Download
, , :
Robustness Analysis of Watermark Verification Techniques for FPGA Netlist Cores
In: Design Methodologies for Secure Embedded Systems, Berlin: Springer Verlag, 2010, S. 105-127 (Lecture Notes in Electrical Engineering, Bd.78)
ISBN: 978-3-642-16766-9
DOI: 10.1007/978-3-642-16767-6_6
BibTeX: Download
, , :
New Directions for FPGA IP Core Watermarking and Identification
Dagstuhl Seminar 10281
In: Dagstuhl Seminar 10281 Proceedings 2010
BibTeX: Download
, :
A self-organizing distributed reinforcement learning algorithm to achieve fair bandwidth allocation for priority-based bus communication
2010 13th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, ISORC Workshops 2010 (Carmona, Sevilla, 11. Mai 2010 - 11. Mai 2010)
In: Proceedings of the 1st IEEE Workshop on Self-Organizing Real-Time systems (SORT 2010) 2010
DOI: 10.1109/ISORCW.2010.18
BibTeX: Download
, , , :
Adaptive Traffic Scheduling Techniques for Mixed Real-Time and Streaming Applications on Reconfigurable Hardware
17th Reconfigurable Architectures Workshop (RAW'10) (Atlanta, 19. April 2010 - 23. April 2010)
In: Proc. 17th Reconfigurable Architectures Workshop 2010
DOI: 10.1109/IPDPSW.2010.5470738
BibTeX: Download
, :
Electromagnetic Compatibility (EMC) of CAN+
Automotive meets Electronics (AmE'10) (Dortmund, 15. April 2010 - 16. April 2010)
In: GMM-Fachbericht - Automotive meets Electronics, Berlin: 2010
BibTeX: Download
, :
FPGA Implementation of an Invasive Computing Architecture
IEEE International Conference on Field Programmable Technology (FPT) (Sydney, 9. Dezember 2009 - 11. Dezember 2009)
In: Proceedings of the IEEE International Conference on Field Programmable Technology 2009
DOI: 10.1109/FPT.2009.5377633
BibTeX: Download
, , , :
FSM-Controlled Architectures for Linear Invasion
17th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (Florianópolis)
In: Proceedings of the 17th IFIP/IEEE International Conference on Very Large Scale Integration 2009
BibTeX: Download
, , , , :
CODES+ISSS 2007 guest editors' introduction
In: Design Automation For Embedded Systems 13 (2009), S. 51-52
ISSN: 0929-5585
DOI: 10.1007/s10617-008-9036-9
BibTeX: Download
, :
Performance Matching of Hardware Acceleration Engines for Heterogeneous MPSoC using Modular Performance Analysis
22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, 10. März 2009 - 13. März 2009)
In: Proceedings of the 22nd International Conference on Architecture of Computing Systems 2009
DOI: 10.1007/978-3-642-00454-4_23
BibTeX: Download
, , :
A Holistic Approach for Tightly Coupled Reconfigurable Parallel Processors
In: Microprocessors and Microsystems 33 (2009), S. 53-62
ISSN: 0141-9331
DOI: 10.1016/j.micpro.2008.08.007
BibTeX: Download
, , , , , :
Impact of loop tiling on the controller logic of acceleration engines
2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2009 (Boston, MA, 7. Juli 2009 - 9. Juli 2009)
In: Proceedings of 20th IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP) 2009
DOI: 10.1109/ASAP.2009.21
BibTeX: Download
, , , :
Impact of Loop Tiling on the Controller Logic of Hardware Acceleration Engines
20th IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP) (Boston, MA, 7. Juli 2009 - 9. Juli 2009)
In: Proceedings of the 20th IEEE International Conference on Application-specific Systems, Architectures, and Processors 2009
BibTeX: Download
, , , :
Electronic System-Level Synthesis Methodologies
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28 (2009), S. 1517-1530
ISSN: 0278-0070
DOI: 10.1109/TCAD.2009.2026356
BibTeX: Download
, , , , , :
Testfallgenerierung für SystemC-Designs mit abstrakten Modellbeschreibungen
Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Berlin, 2. März 2009 - 4. März 2009)
In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2009
BibTeX: Download
, , , , , , :
Symbolic scheduling of SystemC dataflow designs
In: M. Radetzki (Hrsg.): Languages for Embedded Systems and their Applications, Springer, 2009, S. 183-199 (Lecture Notes in Electrical Engineering, Bd.36)
ISBN: 9781402097133
DOI: 10.1007/978-1-4020-9714-0_12
BibTeX: Download
, , :
Incorporating graceful degradation into embedded system design
2009 Design, Automation and Test in Europe Conference and Exhibition, DATE '09 (Nice, 20. April 2009 - 24. April 2009)
In: Proceedings of Design, Automation and Test in Europe (DATE 2009) 2009
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=70350062092&origin=inward
BibTeX: Download
, , , :
Designing Heterogeneous ECU Networks via Compact Architecture Encoding and Hybrid Timing Analysis
ACM/EDAC/IEEE Design Automation Conference (DAC'09) (San Francisco, 26. Juli 2009 - 31. Juli 2009)
In: Proc. 2009 ACM/EDAC/IEEE Design Automation Conference 2009
BibTeX: Download
, , , , :
Acceleration of a Relative Positioning Framework
In: International Journal of Computational Intelligence Research 5 (2009), S. 130-140
ISSN: 0973-1873
DOI: 10.5019/j.ijcir.2009.176
BibTeX: Download
, , , :
Scheduling Techniques for High-Throughput Loop Accelerators (Dissertation, 2009)
BibTeX: Download
:
Parallelization Approaches for Hardware Accelerators - Loop Unrolling versus Loop Partitioning
22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, 10. März 2009 - 13. März 2009)
In: Proceedings of the 22nd International Conference on Architecture of Computing Systems 2009
DOI: 10.1007/978-3-642-00454-4_5
BibTeX: Download
, , :
Particle Swarm Optimization with Velocity Adaptation
International Conference on Adaptive and Intelligent Systems (ICAIS'09) (Klagenfurt, Austria, 24. September 2009 - 26. September 2009)
In: Proc. 2009 International Conference on Adaptive and Intelligent Systems 2009
DOI: 10.1109/ICAIS.2009.32
URL: http://www12.informatik.uni-erlangen.de/people/helwig/publications/HNW09.php
BibTeX: Download
, , :
Data Flow Based System Level Modeling, Analysis, and Synthesis of High-Performance Streaming Image Processing Applications (Dissertation, 2009)
BibTeX: Download
:
Model-based synthesis and optimization of static multi-rate image processing algorithms
2009 Design, Automation and Test in Europe Conference and Exhibition, DATE '09 (Nice, 20. April 2009 - 24. April 2009)
In: Proceedings of Design, Automation and Test in Europe (DATE 2009) 2009
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=70350072695&origin=inward
BibTeX: Download
, , , , :
Data Flow Based System Level Design and Analysis of Concurrent Image Processing Applications
DATE'09 Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications (Nice)
In: Proceedings of DATE'09 Workshop on Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications 2009
BibTeX: Download
, , :
SYSTEMCODESIGNER - An Automatic ESL Synthesis Approach by Design Space Exploration and Behavioral Synthesis for Streaming Applications
In: ACM Transactions on Design Automation of Electronic Systems 14 (2009), S. 1-23
ISSN: 1084-4309
DOI: 10.1145/1455229.1455230
BibTeX: Download
, , , , , , , :
Power-efficient Reconfiguration Control in Coarse-grained Dynamically Reconfigurable Architectures
In: Journal of Low Power Electronics 5 (2009), S. 96-105
ISSN: 1546-1998
DOI: 10.1166/jolpe.2009.1008
BibTeX: Download
, , , :
Architectures, Methods, and Tools for Distributed Run-Time Reconfigurable FPGA-based Systems (Dissertation, 2009)
BibTeX: Download
:
A Communication Architecture for Complex Runtime Reconfigurable Systems and its Implementation on Spartan-3 FPGAs
17th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA'09) (Monterey, California)
In: Proc. 17th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays 2009
BibTeX: Download
, , :
Minimizing Internal Fragmentation by Fine-grained Two-dimensional Module Placement for Runtime Reconfigurable Systems
17th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'09) (Napa, CA, 5. April 2009 - 7. April 2009)
In: Proc. 17th Annual IEEE Symposium on Field-Programmable Custom Computing Machines 2009
DOI: 10.1109/FCCM.2009.40
BibTeX: Download
, , :
Modeling and Efficient Simulation of Complex System-on-a-Chip Architectures (Dissertation, 2009)
BibTeX: Download
:
System Integration of Tightly-Coupled Reconfigurable Processor Arrays and Evaluation of Buffer Size Effects on Their Performance
4th International Symposium on Embedded Multicore Systems-on-Chip (MCSoC) (Vienna, 22. September 2009 - 25. September 2009)
In: Proceedings of the 4th International Symposium on Embedded Multicore Systems-on-Chip 2009
DOI: 10.1109/ICPPW.2009.72
BibTeX: Download
, , :
FlexRay Schedule Optimization of the Static Segment
7th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (Grenoble, 11. Oktober 2009 - 16. Oktober 2009)
In: Proc. 7th International Conference on Hardware/Software Codesign and System Synthesis 2009
DOI: 10.1145/1629435.1629485
BibTeX: Download
, , , :
Exploiting Data-Redundancy in Reliability-Aware Networked Embedded System Design
7th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (Grenoble, 11. Oktober 2009 - 16. Oktober 2009)
In: Proc. 7th International Conference on Hardware/Software Codesign and System Synthesis 2009
DOI: 10.1145/1629435.1629468
BibTeX: Download
, , :
Combined system synthesis and communication architecture exploration for MPSoCs
2009 Design, Automation and Test in Europe Conference and Exhibition, DATE '09 (Nice, 20. April 2009 - 24. April 2009)
In: Proceedings of Design, Automation and Test in Europe (DATE 2009) 2009
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=70350043908&origin=inward
BibTeX: Download
, , , , :
Efficient Mapping of Multiresolution Image Filtering Algorithms on Graphics Processors
9th International Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS Workshop) (Island of Samos, 20. Juli 2009 - 23. Juli 2009)
In: Proceedings of the 9th International Workshop on Systems, Architectures,Modeling, and Simulation (SAMOS), Berlin / Heidelberg: 2009
DOI: 10.1007/978-3-642-03138-0_31
BibTeX: Download
, , , :
Optimization Flow for Algorithm Mapping on Graphics Cards
Advanced Computer Architecture and Compilation for Embedded Systems (ACACES) (Barcelona, 12. Juli 2009 - 18. Juli 2009)
In: Proceedings of ACACES 2009 Poster Abstracts: Advanced Computer Architecture and Compilation for Embedded Systems 2009
BibTeX: Download
, , , :
Acceleration of multiresolution imaging algorithms: A comparative study
2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2009 (Boston, MA, 7. Juli 2009 - 9. Juli 2009)
In: Proceedings of the 20th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP) 2009
DOI: 10.1109/ASAP.2009.8
BibTeX: Download
, , , , :
Modeling and Simulation of IEEE 802.11g using OMNeT++
In: Handbook of Research on Discrete Event Simulation Environments: Technologies and Applications, Hershey, PA: Information Science Reference, 2009, S. 379-397
ISBN: 978-1-60566-774-4
DOI: 10.4018/978-1-60566-774-4.ch017
BibTeX: Download
, :
AIS-Autonomous Integrated Systems
In: newsletter edacentrum 4 (2009), S. 5-13
ISSN: 1862-2283
BibTeX: Download
, , , , , , , , , , , , , , :
Optimal Placement-aware Trace-based Scheduling of Hardware Reconfigurations for FPGA Accelerators
17th IEEE Symposium on Field Programmable Custom Computing Machines (FCCM'09) (Napa, CA, 5. April 2009 - 7. April 2009)
In: Proc. 17th IEEE Symposium on Field Programmable Custom Computing Machines 2009
DOI: 10.1109/FCCM.2009.49
BibTeX: Download
, , :
Model-based Virtual Prototyping for Automotive Applications
3rd Chinese-German Summer School (Erlangen)
In: Proceedings of the 3rd Chinese-German Summer School 2009
BibTeX: Download
:
Efficient approximately-timed performance modeling for architectural exploration of MPSoCs
2009 Forum on Specification and Design Languages, FDL 2009 (Sophia Antipolis, 22. September 2009 - 24. September 2009)
In: Forum on specification and Design Languages 2009 2009
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=77951563792&origin=inward
BibTeX: Download
, , , :
System Level Performance Simulation for Heterogeneous Multi-Processor Architectures
1st HiPEAC Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), in conjunction with the 4th HiPEAC Conference (Paphos, 25. Januar 2009 - 25. Januar 2009)
In: 1st HiPEAC Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), in conjunction with the 4th HiPEAC Conference 2009
BibTeX: Download
, , :
From Model-based Design to Virtual Prototypes for Automotive Applications
Embedded World Conference (Nuremberg, 3. März 2009 - 5. März 2009)
In: Proceedings of the Embedded World Conference 2009
BibTeX: Download
, , , :
General methodology for mapping iterative approximation algorithms to adaptive dynamically partially reconfigurable systems
FPL 09: 19th International Conference on Field Programmable Logic and Applications (Prague, 31. August 2009 - 31. August 2009)
In: Proc. 19th International Conference on Field-Programmable Logic and Applications 2009
DOI: 10.1109/FPL.2009.5272281
BibTeX: Download
, , :
A communication architecture for complex runtime systems and its implementation on spartan-3 FPGAs
7th ACM SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA'09 (Monterey, CA)
In: Proceedings of the 17th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2009) 2009
DOI: 10.1145/1508128.1508170
BibTeX: Download
, , :
Hardware decompression techniques for FPGA-based embedded systems
In: ACM Transactions on Reconfigurable Technology and Systems 2 (2009), Art.Nr.: 9
ISSN: 1936-7406
DOI: 10.1145/1534916.1534919
BibTeX: Download
, , :
Self-Organizing Multi-cue Fusion for FPGA-based Embedded Imaging
19th International Conference on Field-Programmable Logic and Applications (FPL'09) (Prague, 31. August 2009 - 2. September 2009)
In: Proc. 19th International Conference on Field-Programmable Logic and Applications 2009
DOI: 10.1109/FPL.2009.5272523
BibTeX: Download
, , , :
Run time Mapping of AdaptiveApplications onto Homogeneous NoC-based Reconfigurable Architectures
The International Conference on Field-Programmable Technology (FPT'09) (Sydney)
In: Proc. 2009 International Conference on Field-Programmable Technology 2009
BibTeX: Download
, , :
Self-Organizing Bandwidth Sharing in Priority-based Medium Access
Third IEEE International Conference on Self-Adaptive and Self-Organizing Systems (SASO'09) (San Francisco, 14. September 2009 - 18. September 2009)
In: Proc. 3rd IEEE International Conference on Self-Adaptive and Self-Organizing Systems 2009
DOI: 10.1109/SASO.2009.18
BibTeX: Download
, , :
Concepts for run-time and error-resilient control flow checking of embedded RISC CPUs
In: International Journal of Autonomous and Adaptive Communications Systems 2 (2009), S. 256-275
ISSN: 1754-8632
DOI: 10.1504/IJAACS.2009.026785
BibTeX: Download
, :
CAN+: Techniques and Prototype for Achieving Increased Data Rates on the Basis of Common CAN Bus Structures
The 9th Stuttgart, International Symposium (Stuttgart)
In: Proc. 9th Stuttgart, International Symposium 2009
BibTeX: Download
, , :
CAN+: A New Backward-compatible Controller Area Network (CAN) Protocol with up to 16x Higher Data Rates
Design, Automation and Test in Europe (DATE'09) (Nice, 20. April 2009 - 24. April 2009)
In: Proc. Design, Automation and Test in Europe 2009
BibTeX: Download
, , :
- Ahmadinia Ali, Fekete Sándor, Göhringer Diana, Majer Mateusz, Teich Jürgen, van der Veen Jan:
Offline and Online Aspects of Defragmenting the Module Layout of a Partially Reconfigurable Device
In: IEEE Transactions on Very Large Scale Integration (Vlsi) Systems 16 (2008), S. 1210-1219
ISSN: 1063-8210
BibTeX: Download
Reconfigurable HW/SW Architecture of a Reconfigurable HW/SW Architecture of a Real-Time Driver Assistance System
Fourth International Workshop on Applied Reconfigurable Computing (ARC) (London)
In: Proceedings of the Fourth International Workshop on Applied Reconfigurable Computing, Berlin Heidelberg: 2008
BibTeX: Download
, , , , , :
A comparison of embedded reconfigurable video-processing architectures
International Conference on Field-Programmable Logic and Applications (FPL 08) (Heidelberg, 8. September 2008 - 10. September 2008)
In: Proceedings of International Conference on Field-Programmable Logic and Applications, New York: 2008
DOI: 10.1109/FPL.2008.4630015
URL: http://www.kip.uni-heidelberg.de/fpl08/titel/index.php
BibTeX: Download
, , , :
Co-Design Architecture and Implementation for Point-Based Rendering on FPGAs
Proc. 19th IEEE/IFIP International Symposium on Rapid System Prototyping (RSP ) (Monterey, 2. Juni 2008 - 5. Juni 2008)
In: Proc. 19th IEEE/IFIP International Symposium on Rapid System Prototyping 2008
DOI: 10.1109/RSP.2008.23
BibTeX: Download
, , , , :
Heuristics for Scheduling Reconfigurable Devices with Consideration of Reconfiguration Overheads
15th Reconfigurable Architectures Workshop (RAW 2008) (Miami, Florida, 14. April 2008 - 18. April 2008)
In: Proceedings 15th Reconfigurable Architectures Workshop, New York: 2008
DOI: 10.1109/IPDPS.2008.4536540
BibTeX: Download
, :
ReCoBus-Builder - A Novel Tool and Technique to Build Statically and Dynamically Reconfigurable Systems for FPGAs
International Conference on Field-Programmable Logic and Applications (FPL 08) (Heidelberg, 8. September 2008 - 10. September 2008)
In: Proceedings of International Conference on Field-Programmable Logic and Applications, New York: 2008
DOI: 10.1109/FPL.2008.4629918
BibTeX: Download
, , :
Dynamic reconfiguration of FlexRay schedules for response time reduction in asynchronous fault-tolerant networks
21st International Conference on Architecture of Computing Systems, ARCS 2008 (Dresden, 25. Februar 2008 - 28. Februar 2008)
In: Proceedings of the International Conference on Architecture of Computing Systems (ARCS 2008) 2008
DOI: 10.1007/978-3-540-78153-0_10
BibTeX: Download
, , , , :
Fault effects in FlexRay-based networks with hybrid topology
3rd International Conference on Availability, Security, and Reliability, ARES 2008 (Barcelona)
In: Proceedings of the 3th International Conference on Availability, Reliability and Security (ARES'08) 2008
DOI: 10.1109/ARES.2008.161
BibTeX: Download
, , , :
Entdecke die Möglichkeiten
In: Design & Elektronik (2008), S. 22-27
ISSN: 0933-8667
BibTeX: Download
, , :- Dutta Hritam, Hannig Frank, Hartl Matthias, Kissler Dmitrij, Teich Jürgen:
Domain-Specific Reconfigurable MPSoC-Systems - Challenges and Trends
Friday Workshop Reconfigurable Hardware, Design, Automation and Test in Europe (Munich, Germany)
In: Friday Workshop Reconfigurable Hardware, Design, Automation and Test in Europe, New York: 2008
BibTeX: Download
Quantitative Evaluation of Behavioral Synthesis Approaches for Reconfigurable Devices
2nd HiPEAC Workshop on Reconfigurable Computing (Gothenburg)
In: Proceedings of the 2nd HiPEAC Workshop on Reconfigurable Computing 2008
BibTeX: Download
, , , :
A Generalized Static Data Flow Clustering Algorithm for MPSoC Scheduling of Multimedia Applications
8th ACM & IEEE international conference on Embedded software (EMSOFT'2008) (Atlanta, Georgia, 20. Oktober 2008 - 22. Oktober 2008)
In: Proc. of the 8th ACM & IEEE international conference on Embedded software (EMSOFT'2008) 2008
BibTeX: Download
, , , , :
No-Break Dynamic Defragmentation of Reconfigurable Devices
International Conference on Field-Programmable Logic and Applications (FPL 08) (Heidelberg, 8. September 2008 - 10. September 2008)
In: Proceedings of International Conference on Field-Programmable Logic and Applications, New York: 2008
DOI: 10.1109/FPL.2008.4629917
BibTeX: Download
, , , , , , , :
Symbolische Modellprüfung Aktor-orientierter High-level SystemC-Modelle mit Intervalldiagrammen
11. GI/ITG/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Freiburg, 3. März 2008 - 5. März 2008)
BibTeX: Download
, , , :
Mapping Actor-Oriented Models to TLM Architectures
Forum on specification and Design Languages 2007 (Barcelona, 18. September 2007 - 20. September 2007)
In: Proceedings FDL'07, Forum on specification and Design Languages 2007 2008
BibTeX: Download
, , , :
Symbolic quasi-static scheduling of actor-oriented SystemC models
2008 Forum on Specification, Verification and Design Languages, FDL'08 (Stuttgart)
In: Proceedings of Forum on specification & Design Languages 2008 (FDL08) 2008
DOI: 10.1109/FDL.2008.4641412
BibTeX: Download
, , :
Symbolic reliability analysis and optimization of ECU networks
Design, Automation and Test in Europe, DATE 2008 (Munich, 10. April 2008 - 14. April 2008)
In: Proceedings of Design, Automation and Test in Europe (DATE 2008) 2008
DOI: 10.1109/DATE.2008.4484679
BibTeX: Download
, , , , :
Symbolic reliability analysis of self-healing networked embedded systems
27th International Conference on Computer Safety, Reliability, and Security, SAFECOMP 2008 (Newcastle upon Tyne, 22. September 2008 - 25. September 2008)
In: Proceedings of the 27th International Conference on Computer Safety, Reliability and Security (SAFECOMP 2008) 2008
DOI: 10.1007/978-3-540-87698-4_14
BibTeX: Download
, , , , :
A Feasibility-Preserving Crossover and Mutation Operator for Constrained Combinatorial Problems
10th International Conference on Parallel Problem Solving from Nature (PPSN08) (Dortmund, 13. September 2008 - 17. September 2008)
In: Proceedings of the 10th International Conference on Parallel Problem Solving from Nature, Berlin, Heidelberg: 2008
DOI: 10.1007/978-3-540-87700-4
URL: http://ls11-www.cs.uni-dortmund.de/ppsn/ppsn10/
BibTeX: Download
, , :
Multi-objective routing and topology optimization in networked embedded systems
Int. Conf. on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS) (Samos)
In: Proc. 8th Int. Conf. on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS) 2008
DOI: 10.1109/ICSAMOS.2008.4664849
BibTeX: Download
, , , , :
PARO: Synthesis of Hardware Accelerators for Multi-Dimensional Dataflow-Intensive Applications
Fourth International Workshop on Applied Reconfigurable Computing (ARC) (London, 26. März 2008 - 28. März 2008)
In: Proceedings of the Fourth International Workshop on Applied Reconfigurable Computing, Berlin Heidelberg: 2008
DOI: 10.1007/978-3-540-78610-8_30
BibTeX: Download
, , , :
The PAULA Language for Designing Multi-Dimensional Dataflow-Intensive Applications
GI/ITG/GMM-Workshop -- Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Freiburg, 3. März 2008 - 5. März 2008)
In: Proceedings of the GI/ITG/GMM-Workshop -- Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2008
BibTeX: Download
, , :
Efficient reconfigurable on-chip buses for fpgas
16th IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM'08 (Palo Alto, California)
In: Proceedings 16th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2008) 2008
DOI: 10.1109/FCCM.2008.33
BibTeX: Download
, , :- Haubelt C., Schlichter T., Keinert J., Meredith M.:
SystemCoDesigner: Automatic design space exploration and rapid prototyping from behavioral models
45th Design Automation Conference, DAC (Anaheim, CA, 8. Juni 2008 - 13. Juni 2008)
In: Proceedings of 2008 ACM/EDAC/IEEE Design Automation Conference (DAC 2008) 2008
DOI: 10.1109/DAC.2008.4555883
BibTeX: Download
Theoretical Analysis of Initial Particle Swarm Behavior
10th International Conference on Parallel Problem Solving from Nature (PPSN08) (Dortmund, 13. September 2008 - 17. September 2008)
In: Proceedings of the 10th International Conference on Parallel Problem Solving from Nature, Berlin, Heidelberg: 2008
DOI: 10.1007/978-3-540-87700-4_88
URL: http://www12.informatik.uni-erlangen.de/people/helwig/publications/HW08.php
BibTeX: Download
, :
Social Interaction in Particle Swarm Optimization, the Ranked FIPS, and Adaptive Multi-Swarms
Genetic and Evolutionary Computation Conference (GECCO08) (Atlanta, Georgia, 12. Juli 2008 - 16. Juli 2008)
In: ACM Press (Hrsg.): Proceedings of the Genetic and Evolutionary Computation Conference 2008
DOI: 10.1145/1389095.1389103
URL: http://www12.informatik.uni-erlangen.de/people/helwig/publications/JHW08.php
BibTeX: Download
, , :
Automatic Synthesis of Design Alternatives for Fast Stream-Based Out-of-Order Communication
2008 IFIP/IEEE WG 10.5 International Conference on Very Large Scale Integration, (VLSI-SoC 2008) (Rhodes Island, 13. Oktober 2008 - 15. Oktober 2008)
In: Proceedings of the 2008 IFIP/IEEE WG 10.5 International Conference on Very Large Scale Integration, (VLSI-SoC 2008) 2008
BibTeX: Download
, , :
Synthesis of multi-dimensional high-speed FIFOs for out-of-order communication
21st International Conference on Architecture of Computing Systems, ARCS 2008 (Dresden, 25. Februar 2008 - 28. Februar 2008)
In: Proceedings of the International Conference on Architecture of Computing Systems (ARCS 2008) 2008
DOI: 10.1007/978-3-540-78153-0_11
BibTeX: Download
, , :
Power-efficient Reconfiguration Control in Coarse-Grained Dynamically Reconfigurable Architectures
18th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Lisbon, 10. September 2008 - 12. September 2008)
In: Proceedings of the 18th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2008
BibTeX: Download
, , , :
MAML: An ADL for Designing Single and Multiprocessor Architectures
In: Prabhat Mishra and Nikil Dutt (Hrsg.): Processor Description Languages, Elsevier Inc., 2008, S. 295-327 (Systems on Silicon Series)
ISBN: 9780123742872
DOI: 10.1016/B978-012374287-2.50015-X
BibTeX: Download
, , , :
A feasibility-preserving local search operator for constrained discrete optimization problems
2008 IEEE Congress on Evolutionary Computation, CEC 2008 (Hong Kong)
In: Proceedings of the 2008 IEEE Congress on Evolutionary Computation (CEC 2008) 2008
DOI: 10.1109/CEC.2008.4631058
BibTeX: Download
, , , :
Efficient symbolic multi-objective design space exploration
2008 Asia and South Pacific Design Automation Conference, ASP-DAC (Seoul)
DOI: 10.1109/ASPDAC.2008.4484040
BibTeX: Download
, , , :
Concurrent topology and routing optimization in automotive network integration
45th Design Automation Conference, DAC (Anaheim, CA, 8. Juni 2008 - 13. Juni 2008)
In: Proceedings of the 2008 ACM/EDAC/IEEE Design Automation Conference (DAC 2008) 2008
DOI: 10.1109/DAC.2008.4555893
BibTeX: Download
, , , , , :
Symbolic voter placement for dependability-aware system synthesis
Embedded Systems Week 2008 - 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008 (Atlanta, GA, 19. Oktober 2008 - 24. Oktober 2008)
In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) 2008
DOI: 10.1145/1450135.1450190
BibTeX: Download
, , , , , :
Utilization of all Levels of Parallelism in a Processor Array with Subword Parallelism
11th Euromicro Conference on Digital System Design (DSD) (Parma, 3. September 2008 - 5. September 2008)
In: Proceedings of the 11th Euromicro Conference on Digital System Design, New York: 2008
DOI: 10.1109/DSD.2008.24
BibTeX: Download
, , , :
Netlist-Level IP Protection by Watermarking for LUT-Based FPGAs
IEEE International Conference on Field-Programmable Technology (FPT ) (Taipei, 7. Dezember 2008 - 10. Dezember 2008)
In: Proceedings of IEEE International Conference on Field-Programmable Technology, New York: 2008
DOI: 10.1109/FPT.2008.4762385
BibTeX: Download
, , :
Self-Adaptive Hardware/Software Reconfigurable Networks - Concepts, Methods, and Implementation (Dissertation, 2008)
BibTeX: Download
:
Topology-aware replica placement in fault-tolerant embedded networks
21st International Conference on Architecture of Computing Systems (ARCS) (Dresden, 25. Februar 2008 - 28. Februar 2008)
In: Proc. 21st International Conference on Architecture of Computing Systems (ARCS) 2008
DOI: 10.1007/978-3-540-78153-0_4
URL: http://www12.cs.fau.de/people/rwanka/publications/SGWHT08.php
BibTeX: Download
, , , , :
Concepts for self-adaptive and self-healing networked embedded systems
In: Rolf Würtz (Hrsg.): Organic Computing, Springer, 2008, S. 241-260 (Springer Series Understanding Complex Systems)
ISBN: 9783540776567
DOI: 10.1007/978-3-540-77657-4_11
BibTeX: Download
, , , :
Semi-Automatic Generation of mixed Hardware-Software Prototypes from Simulink Models
11. GI/ITG/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Freiburg, 3. März 2008 - 5. März 2008)
In: 11. GI/ITG/GMM-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2008
BibTeX: Download
, , , , :
Foreword DFG SPP1148 session:
2008 International Conference on Field Programmable Logic and Applications, FPL (Heidelberg)
DOI: 10.1109/FPL.2008.4629962
BibTeX: Download
:
Invasive Algorithms and Architectures
In: it - Information Technology 50 (2008), S. 300-310
ISSN: 1611-2776
BibTeX: Download
:
Proceedings - 2008 International Conference on Field Programmable Logic and Applications, FPL: Preface
2008 International Conference on Field Programmable Logic and Applications, FPL (Heidelberg)
DOI: 10.1109/FPL.2008.4629893
BibTeX: Download
, :
A Sequential Learning Resource Allocation Network for Image Processing Applications
8th International Conference on Hybrid Intelligent Systems (Barcelona, 10. September 2008 - 12. September 2008)
In: Proceedings of the 8th International Conference on Hybrid Intelligent Systems, New York: 2008
DOI: 10.1109/HIS.2008.101
BibTeX: Download
, :
Paralleles Sortieren - Parallel geht schnell
In: Taschenbuch der Algorithmen, Berlin Heidelberg: Springer, 2008, S. 31-41
ISBN: 978-3-540-76393-2
DOI: 10.1007/978-3-540-76394-9_4
BibTeX: Download
:
Paralleles Sortieren - Parallel geht schnell
In: Vöcking B (Hrsg.): Taschenbuch der Algorithmen, Berlin Heidelberg: Springer, 2008, S. 31-41
ISBN: 978-3-540-76393-2
DOI: 10.1007/978-3-540-76394-9_4
BibTeX: Download
:
3D Person Tracking with a Color-Based Particle Filter
RobVis'2008 (Auckland, 18. Februar 2008 - 20. Februar 2008)
In: Robot Vision, Berlin: 2008
DOI: 10.1007/978-3-540-78157-8_25
BibTeX: Download
, :
Theoretical Analysis of Fair Bandwidth Sharing in Priority-based Medium Access
(2008)
BibTeX: Download
(Techreport)
, :
Area and Reconfiguration Time Minimization of the Communication Network in Regular 2D Reconfigurable Architectures
International Conference on Field Programmable Logic and Applications (FPL) (Heidelberg, 8. September 2008 - 10. September 2008)
In: Proceedings of the International Conference on Field Programmable Logic and Applications, New York: 2008
DOI: 10.1109/FPL.2008.4629969
BibTeX: Download
, , , :
Communication Network Reconfiguration Overhead Optimization in Programmable Processor Array Architectures
11th Euromicro Conference on Digital System Design (DSD) (Parma, 3. September 2008 - 5. September 2008)
In: Proceedings of the 11th Euromicro Conference on Digital System Design, New York: 2008
DOI: 10.1109/DSD.2008.1
BibTeX: Download
, , , :
Optimization of Routing and Reconfiguration Overhead in Programmable Processor Array Architectures
16th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM) (Palo Alto, CA, 14. April 2008 - 15. April 2008)
In: Proceedings of the 16th IEEE Symposium on Field-Programmable Custom Computing Machines 2008
DOI: 10.1109/FCCM.2008.16
BibTeX: Download
, , , :
Classification of general data flow actors into known models of computation
6th ACM and IEEE International Conference on Formal Methods and Models for Co-Design, MEMOCODE'08 (Anaheim, CA, 5. Juli 2008 - 7. Juli 2008)
In: Proc. of the Sixth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2008) 2008
DOI: 10.1109/MEMCOD.2008.4547699
BibTeX: Download
, , , :
Concepts for Autonomous Control Flow Checking for Embedded CPUs
5th International Conference on Autonomic and Trusted Computing (ATC-08) (Oslo, 23. Juni 2008 - 25. Juni 2008)
In: Proceedings of the 5th International Conference on Autonomic and Trusted Computing (ATC-08), Berlin, Heidelberg: 2008
DOI: 10.1007/978-3-540-69295-9_20
BibTeX: Download
, :
Power Signature Watermarking of IP Cores for FPGAs
In: Journal of Signal Processing Systems For Signal Image and Video Technology 51 (2008), S. 123-136
ISSN: 1939-8018
DOI: 10.1007/s11265-007-0136-8
BibTeX: Download
, :
Optimal free-space management and routing-conscious dynamic placement for reconfigurable devices
In: IEEE Transactions on Computers 56 (2007), S. 673-680
ISSN: 0018-9340
DOI: 10.1109/TC.2007.1028
BibTeX: Download
, , , , :
Scheduling and communication-aware mapping of HW-SW modules for dynamically and partially reconfigurable SoC architectures
ARCS '07 - 20th International Conference on Architecture of Computing Systems (Zurich)
In: Proc. of the 20th International Conference on Architecture of Computing Systems, Berlin: 2007
BibTeX: Download
, , , , , :
The Erlangen Slot Machine: A Platform for Interdisciplinary Research in Reconfigurable Computing
In: it - Information Technology 49 (2007), S. 143-148
ISSN: 1611-2776
BibTeX: Download
, , , , , :
Dynamically Reconfigurable Architectures
In: EURASIP Journal on Embedded Systems 2007 (2007), S. Article ID 28405, 2 pages
ISSN: 1687-3955
BibTeX: Download
, , :
The Erlangen Slot Machine: A Dynamically Reconfigurable FPGA-Based Computer
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 47 (2007), S. 15-31
ISSN: 1387-5485
BibTeX: Download
, , , :- Dittmann Florian, Rammig Franz, Streubühr Martin, Haubelt Christian, Schallenberg Andreas, Nebel Wolfgang:
Exploration, Partitioning and Simulation of Reconfigurable Systems
In: it - Information Technology 49 (2007), S. 149-156
ISSN: 1611-2776
BibTeX: Download
Massively Parallel Processor Architectures: A Co-design Approach
3rd International Workshop on Reconfigurable Communication Centric System-on-Chips (ReCoSoC) (Montpellier, 18. Juni 2007 - 20. Juni 2007)
In: Proceedings of the 3rd International Workshop on Reconfigurable Communication Centric System-on-Chips (ReCoSoC) 2007
BibTeX: Download
, , , , , , , , :
Efficient Control Generation for Mapping Nested Loop Programs onto Processor Arrays
In: Journal of Systems Architecture 53 (2007), S. 300-309
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2006.10.009
BibTeX: Download
, , , :
Task Graph Clustering with Internal State
(2007)
BibTeX: Download
(Techreport)
, , :
Synthese zuverlässiger und flexibler Systeme
Zuverlässigkeit und Entwurf (ZuD 2007) (Munich, 26. März 2007 - 28. März 2007)
In: Proceedings of Zuverlässigkeit und Entwurf (ZuD 2007) 2007
BibTeX: Download
, , , , :
A SystemC-based Design Methodology for Digital Signal Processing Systems
In: EURASIP Journal on Embedded Systems 2007 (2007), S. Article ID 47580, 22 pages
ISSN: 1687-3955
DOI: 10.1155/2007/47580
BibTeX: Download
, , , , , , , :
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen
Aachen: Shaker Verlag, 2007
BibTeX: Download
, :
Particle Swarm Optimization in High-Dimensional Bounded Search Spaces
IEEE Swarm Intelligence Symposium 2007 (Honolulu, Hawaii, 1. April 2007 - 5. April 2007)
In: Proceedings of the 2007 IEEE Swarm Intelligence Symposium 2007
DOI: 10.1109/SIS.2007.368046
URL: http://www12.informatik.uni-erlangen.de/people/helwig/publications/HW07.php
BibTeX: Download
, :
Actor-oriented modeling and simulation of sliding window image processing algorithms
2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007 (Salzburg, 4. Oktober 2007 - 5. Oktober 2007)
In: Proceedings of the 2007 IEEE/ACM/IFIP Workshop of Embedded Systems for Real-Time Multimedia (ESTIMEDIA 2007) 2007
DOI: 10.1109/ESTMED.2007.4375815
BibTeX: Download
, , , :
Simulative buffer analysis of local image processing algorithms described by windowed synchronous data flow
2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2007 (Samos, 16. Juli 2007 - 19. Juli 2007)
In: Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Acoustics, Speech, and Signal Processing (IC-SAMOS VII) 2007
DOI: 10.1109/ICSAMOS.2007.4285747
BibTeX: Download
, , :
Schwach-programmiert macht stark
In: Design & Elektronik (2007), S. 34-39
ISSN: 0933-8667
BibTeX: Download
, , :
Bitstream Decompression for High Speed FPGA Configuration from Slow Memories
IEEE International Conference on Field-Programmable Technology 2007 (ICFPT'07) (Kokurakita, Kitakyushu, 12. Dezember 2007 - 14. Dezember 2007)
In: Proc. of the IEEE International Conference on Field-Programmable Technology 2007, New York: 2007
DOI: 10.1109/FPT.2007.4439245
BibTeX: Download
, , :
Modeling and synthesis of hardware-software morphing
2007 IEEE International Symposium on Circuits and Systems, ISCAS 2007 (New Orleans, LA)
In: Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007) 2007
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=34548813114&origin=inward
BibTeX: Download
, , , :
Efficient hardware checkpointing: Concepts, overhead analysis, and implementation
FPGA 2007: Fifteenth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (Monterey, CA, 18. Februar 2007 - 20. Februar 2007)
In: Proceedings of the 15th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2007) 2007
DOI: 10.1145/1216919.1216950
BibTeX: Download
, , :
Modeling of interconnection networks in massively parallel processor architectures
20th International Conference on Architecture of Computing Systems, ARCS 2007 (Zurich, 12. März 2007 - 15. März 2007)
In: Proceedings of the 20th International Conference on Architecture of Computing Systems (ARCS 2007) 2007
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=37249015485&origin=inward
BibTeX: Download
, , , , , , :
Efficient event-driven simulation of parallel processor architectures
10th International Workshop on Software and Compilers for Embedded Systems, SCOPES 2007 (Nice)
In: Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems (SCOPES) 2007
DOI: 10.1145/1269843.1269854
BibTeX: Download
, , , :
SAT-decoding in evolutionary algorithms for discrete constrained optimization problems
2007 IEEE Congress on Evolutionary Computation, CEC 2007 (Singapore, 25. September 2007 - 28. September 2007)
In: In Proceedings of the 2007 IEEE Congress on Evolutionary Computation (CEC 2007) 2007
DOI: 10.1109/CEC.2007.4424570
BibTeX: Download
, , , :
Solving multi-objective Pseudo-Boolean problems
10th International Conference on Theory and Applications of Satisfiability Testing, SAT 2007 (Lisbon, 28. Mai 2007 - 31. Mai 2007)
In: Proceedings of Tenth International Conference on Theory and Applications of Satisfiability Testing (SAT 2007) 2007
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=38049113235&origin=inward
BibTeX: Download
, , , :
Symbolic archive representation for a fast nondominance test
4th International Conference on Evolutionary Multi-Criterion Optimization, EMO 2007 (Matsushima, 5. März 2007 - 8. März 2007)
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=37249008208&origin=inward
BibTeX: Download
, , , :
Formalizing TLM with Communicating State Machines
In: Sorin A. Huss (Hrsg.): Advances in Design and Specification Languages for Embedded Systems, Springer, 2007, S. 225-242
BibTeX: Download
, , , :
Registration of measured and simulated non-ideal geometry using optimization methods.
10th CIRP International Seminar on Computet Aided Tolerancing (Erlangen)
In: International Seminar on Computet Aided Tolerancing 2007
BibTeX: Download
, , , :
Periodic Load Balancing on the N-Cycle: Analytical and Experimental Evaluation
13th International Euro-Par Conference (Rennes, 28. August 2007 - 31. August 2007)
In: Proceedings of the 13th International Euro-Par Conference 2007
DOI: 10.1007/978-3-540-74466-5_86
BibTeX: Download
, :
Periodic Load Balancing on the N-Cycle: Analytical and Experimental Evaluation
13th European Conference in Parallel Processing Euro-Par'07, (Rennes, 27. August 2007 - 31. August 2007)
In: Kermarrec Anne-Marie, Bougé Luc, Priol Thierry (Hrsg.): Proc. 13th European Conference in Parallel Processing (Euro-Par) 2007
DOI: 10.1007/978-3-540-74466-5_86
URL: http://www12.informatik.uni-erlangen.de/people/rwanka/publications/pdf/RW07.pdf
BibTeX: Download
, :
Autonomic MPSoCs for Reliable Systems
Zuverlässigkeit und Entwurf (ZuD ) (Munich)
In: Proceedings of Zuverlässigkeit und Entwurf (ZuD 2007) 2007
BibTeX: Download
, , , , , , , , , , :
Concepts for Autonomic Integrated Systems
edaWorkshop07 (Hannover)
In: Proceedings of edaWorkshop07, Berlin: 2007
BibTeX: Download
, , , , , , , , , , :
Time Synchronization
In: Algorithms for Sensor and Ad Hoc Networks, Berlin Heidelberg: Springer, 2007, S. 359-380 (Lecture Notes in Computer Science (LNCS), Bd.4621)
ISBN: 978-3-540-74990-5
URL: http://www.springer.com/computer/communications/book/978-3-540-74990-5
BibTeX: Download
, :
Design space exploration of reliable networked embedded systems
In: Journal of Systems Architecture 53 (2007), S. 751-763
ISSN: 1383-7621
DOI: 10.1016/j.sysarc.2007.01.005
BibTeX: Download
, , , :
Communication Aware Optimization of the Task Binding in Hardware/Software Reconfigurable Networks
In: Journal of Integrated Circuits and Systems (2007), S. 29-36
ISSN: 1807-1953
BibTeX: Download
, , , , :
System Level Modeling and Performance Simulation for Dynamic Reconfigurable Computing Systems in SystemC
10. Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen" (Erlangen, 5. März 2007 - 7. März 2007)
In: Proceedings of 10. Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen" 2007
BibTeX: Download
, , , :
Reconfigurable Computing Systems
In: it - Information Technology 49 (2007), S. 139-142
ISSN: 1611-2776
BibTeX: Download
:- Glaß M., Lukasiewycz M., Streichelt T., Haubelt C., Teich J.:
Reliability-aware system synthesis
2007 Design, Automation and Test in Europe Conference and Exhibition (Nice Acropolis, 16. April 2007 - 20. April 2007)
In: Proceedings of Design, Automation and Test in Europe (DATE 2007) 2007
DOI: 10.1109/DATE.2007.364626
BibTeX: Download
A Unified Retargetable Design Methodology for Dedicated and Re-Programmable Multiprocessor Arrays: Case Study and Quantitative Evaluation
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA) (Las Vegas, NV, 25. Juni 2007 - 28. Juni 2007)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA) 2007
BibTeX: Download
, , , , , :
Digitale Hardware/Software-Systeme: Synthese und Optimierung
Berlin: Springer, 2007
ISBN: 978-3-540-46822-6
URL: http://www.springer.com/computer/hardware/book/978-3-540-46822-6
BibTeX: Download
, :
Optimization Algorithms for Dynamically Reconfigurable Embedded Systems (Dissertation, 2006)
BibTeX: Download
:
Online Placement for Dynamically Reconfigurable Devices
In: EURASIP Journal on Embedded Systems 1 (2006), S. 165-178
ISSN: 1687-3955
BibTeX: Download
, , :
Dynamically Reconfigurable Architectures
2006
(Proceedings of the Dagstuhl Seminar Nº 06141)
BibTeX: Download
, , , (Hrsg.):
Analysis of Dataflow Programs with Interval-limited Data-rates
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology Vol. 43, Nos. 2-3 (2006), S. 247-258
ISSN: 1387-5485
DOI: 10.1007/s11265-006-7274-2
BibTeX: Download
, :
Automatic Test Case Generation with Model Checker NuSMV
Informatik 2006 - Workshop Modellbasiertes Testen (Dresden, 2. Oktober 2006 - 10. Oktober 2006)
In: Proceedings of the Informatik 2006 - Workshop Modellbasiertes Testen 2006
BibTeX: Download
, , , , :
A Design Methodology for Hardware Acceleration of Adaptive Filter Algorithms in Image Processing
IEEE 17th International Conference on Application-specific Systems, Architectures, and Processors (ASAP) (Steamboat Springs, CO, 11. September 2006 - 13. September 2006)
In: Proceedings of IEEE 17th International Conference on Application-specific Systems, Architectures, and Processors 2006
DOI: 10.1109/ASAP.2006.4
BibTeX: Download
, , , , :
A Formal Methodology for Hierarchical Partitioning of Piecewise Linear Algorithms
In: Technical Report 04-2006, 2006
BibTeX: Download
(Techreport)
, , :
Controller Synthesis for Mapping Partitioned Programs on Array Architectures
19th International Conference on Architecture of Computing Systems (ARCS) (Frankfurt am Main, 13. März 2006 - 16. März 2006)
In: Proceedings of the 19th International Conference on Architecture of Computing Systems, Berlin, Heidelberg, New York: 2006
DOI: 10.1007/11682127_13
BibTeX: Download
, , :
Hierarchical Partitioning for Piecewise Linear Algorithms
5th International Conference on Parallel Computing in Electrical Engineering (PARELEC) (Bialystok, 13. September 2006 - 17. September 2006)
In: Proceedings of the 5th International Conference on Parallel Computing in Electrical Engineering 2006
DOI: 10.1109/PARELEC.2006.43
BibTeX: Download
, , :
Mapping a Class of Dependence Algorithms to Coarse-grained Reconfigurable Arrays: Architectural Parameters and Methodology
In: International Journal of Embedded Systems 2 (2006), S. 114-127
ISSN: 1741-1068
BibTeX: Download
, , :
Mapping of Nested Loop Programs onto Massively Parallel Processor Arrays with Memory and I/O Constraints
6th International Heinz Nixdorf Symposium, New Trends in Parallel & Distributed Computing (Paderborn, 17. Januar 2006 - 18. Januar 2006)
In: Proceedings of the 6th International Heinz Nixdorf Symposium, New Trends in Parallel & Distributed Computing, Paderborn, Germany: 2006
BibTeX: Download
, , :
Efficient Representation and Simulation of Model-Based Designs in SystemC
FDL'06, Forum on Design Languages 2006 (Darmstadt, 29. September 3006 - 22. September 2006)
In: Proceedings FDL'06, Forum on Design Languages 2006 2006
BibTeX: Download
, , :
Minimizing communication cost for reconfigurable slot modules
16th International Conference on Field Programmable Logic and Applications (FPL06) (Madrid, 28. August 2006 - 30. August 2006)
In: Proceedings of 16th International Conference on Field Programmable Logic and Applications 2006
DOI: 10.1109/FPL.2006.311263
BibTeX: Download
, , , :
Designing Low Power Hardware / Software Systems
1st Chinese-German Summer School (Shanghai)
In: Proceedings of the 1st Chinese-German Summer School, Erlangen: 2006
BibTeX: Download
:
Bridging the Gap between Relocation and Available Technology: The Erlangen Slot Machine
Dynamically Reconfigurable Architectures (Dagstuhl)
In: Proceedings of the Dagstuhl Seminar Nº 06141 on Dynamically Reconfigurable Architectures, Dagstuhl, Germany: 2006
URL: http://drops.dagstuhl.de/opus/volltexte/2006/736
BibTeX: Download
, , :
Mapping a Class of Dependence Algorithms to Coarse-grained Reconfigurable Arrays -- Architectural Parameters and Methodology
In: International Journal of Embedded Systems 2 (2006), S. 114-127
ISSN: 1741-1068
DOI: 10.1504/IJES.2006.010170
BibTeX: Download
, , :
Communication-conscious Mapping of Regular Nested Loop Programs onto Massively Parallel Processor Arrays
18th International Conference on Parallel and Distributed Computing and Systems (PDCS) (Dallas, TX, 13. November 2006 - 15. November 2006)
In: Proceedings of the 18th International Conference on Parallel and Distributed Computing and Systems 2006
BibTeX: Download
, , , :
Improving Automatic Design Space Exploration by Integrating Symbolic Techniques into Multi-Objective Evolutionary Algorithms
In: International Journal of Computational Intelligence Research 2 (2006), S. 239-254
ISSN: 0973-1873
BibTeX: Download
, , :
Modeling and analysis of windowed synchronous algorithms
2006 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2006 (Toulouse, 14. Mai 2006 - 19. Mai 2006)
In: Proceedings of the 31st International Conference on Acoustics, Speech, and Signal Processing (ICASSP2006) 2006
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=33947613533&origin=inward
BibTeX: Download
, , :
A Dynamically Reconfigurable Weakly Programmable Processor Array Architecture Template
2nd International Workshop on Reconfigurable Communication-Centric System-on-Chips (ReCoSoC) (, 3. Juli 2006 - 5. Juli 2006)
In: Proceedings of the 2nd International Workshop on Reconfigurable Communication-Centric System-on-Chips (ReCoSoC) 2006
BibTeX: Download
, , , :
Hardware cost analysis for weakly programmable processor arrays
2006 International Symposium on System-on-Chip, SOC (Tampere, 14. November 2006 - 16. November 2006)
In: Proceedings of the International Symposium on System-on-Chip (SoC) 2006
DOI: 10.1109/ISSOC.2006.321996
BibTeX: Download
, , , :
A Generic Framework for Rapid Prototyping of System-on-Chip Designs
International Conference on Computer Design (CDES) (Las Vegas, NV)
In: Proceedings of the International Conference on Computer Design (CDES) 2006
BibTeX: Download
, , , , :
A highly parameterizable parallel processor array architecture
2006 IEEE International Conference on Field Programmable Technology, FPT 2006 (Bangkok, 13. Dezember 2006 - 15. Dezember 2006)
In: Proceedings of the IEEE International Conference on Field Programmable Technology (FPT 2006) 2006
DOI: 10.1109/FPT.2006.270293
BibTeX: Download
, , , :
An operating system infrastructure for fault-tolerant reconfigurable networks
19th International Conference on Architecture of Computing Systems, ARCS 2006 (Frankfurt, Main, 13. März 2006 - 16. März 2006)
In: Proceedings of the 19th International Conference on Architecture of Computing Systems (ARCS 2006) 2006
DOI: 10.1007/11682127_15
BibTeX: Download
, , , , , :
Modeling and Design of Fault-Tolerant and Self-Adaptive Reconfigurable Networked Embedded Systems
In: EURASIP Journal on Embedded Systems 2006 (2006), S. 1 - 15
ISSN: 1687-3955
DOI: 10.1155/ES/2006/42168
BibTeX: Download
, , , :
Searching RC5-Keys with Distributed Reconfigurable Computing
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA ) (Las Vegas, 26. Juni 2006 - 29. Juni 2006)
In: Proceedings of International Conference on Engineering of Reconfigurable Systems and Algorithms, USA: 2006
BibTeX: Download
, , :
MAML - An Architecture Description Language for Modeling and Simulation of Processor Array Architectures, Part I
In: Technical Report 03-2006, 2006
BibTeX: Download
(Techreport)
, , , , :
Modeling of Interconnection Networks in Massively Parallel Processor Architectures
In: Technical Report 05-2006, 2006
BibTeX: Download
(Techreport)
, , , , , , :
An Architecture Description Language for Massively Parallel Processor Architectures
9th ITG/GMM/GI Workshop, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Dresden, 20. Februar 2006 - 22. Februar 2006)
In: Proceedings of the 9th ITG/GMM/GI Workshop, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2006
BibTeX: Download
, , , , , :
Performance Analyses of Embedded Real-time Operating Systems using High-precision Counters
ICARA (Palmerston North)
In: Proc. 3rd Int. Conf. on Autonomous Robots and Agents 2006
BibTeX: Download
, , :
Model-Based Embedded System Design
1st Chinese-German Summer School (Shanghai)
In: Proceedings of the 1st Chinese-German Summer School, Erlangen: 2006
BibTeX: Download
:
An FPGA-Based Dynamically Reconfigurable Platform: from Concept to Realization
16th International Conference on Field Programmable Logic and Applications (Madrid, 28. August 2006 - 30. August 2006)
In: Proceedings of 16th International Conference on Field Programmable Logic and Applications 2006
DOI: 10.1109/FPL.2006.311364
BibTeX: Download
:
A Flexible Reconfiguration Manager for the Erlangen Slot Machine
M. Majer, A. Ahmadinia, C. Bobda and J. Teich (Frankfurt/Main, 16. März 2003 - 16. März 2003)
In: Proceedings of the Dynamically Reconfigurable Systems Workshop (DRS'2006) 2006
BibTeX: Download
, , , :
Assertion-Based Verification of Transaction Level Models
9th ITG/GMM/GI Workshop, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Dresden, 20. Februar 2006 - 22. Februar 2006)
In: Proceedings of the 9th ITG/GMM/GI Workshop, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2006
BibTeX: Download
, :
Formalizing TLM with Communicating State Machines
Forum on Specification and Design Languages (FDL'06) (Darmstadt, 29. September 3006 - 22. September 2006)
In: Proceedings Forum on Specification and Design Languages (FDL'06) 2006
BibTeX: Download
, :
Reconfigurable Computing Architectures
1st Chinese-German Summer School (Shanghai, 18. September 2006 - 28. September 2006)
In: Proceedings of the 1st Chinese-German Summer School 2006
BibTeX: Download
:
Improving system level design space exploration by incorporating SAT-solvers into multi-objective evolutionary algorithms
IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures 2006 (Klarlsruhe, 2. März 2006 - 3. März 2006)
In: Proceedings of IEEE Computer Society Annual Symposium on VLSI. Karlsruhe 2006
DOI: 10.1109/ISVLSI.2006.57
BibTeX: Download
, , , :
Automatic Test Generation with Model Checking Techniques
Conference on Quality Engineering in Software Technology (CONQUEST2006) (Berlin, 27. September 2006 - 29. September 2006)
In: Software Quality in Service-Oriented Architectures Proceedings of the Conference on Quality Engineering in Software Technology (CONQUEST2006) 2006
BibTeX: Download
, , , :
Placing Functionality in Fault-Tolerant Hardware/Software Reconfigurable Networks
16th International Conference on Field Programmable Logic and Applications (Madrid, 28. August 2006 - 30. August 2006)
In: Proceedings of 16th International Conference on Field Programmable Logic and Applications 2006
DOI: 10.1109/FPL.2006.311346
BibTeX: Download
:
Power Aware Design
1st Chinese-German Summer School (Shanghai)
In: Proceedings of the 1st Chinese-German Summer School, Erlangen: 2006
BibTeX: Download
:
Multi-objective topology optimization for networked embedded systems
2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2006 (Samos, 17. Juli 2006 - 20. Juli 2006)
In: Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS 2006) 2006
DOI: 10.1109/ICSAMOS.2006.300814
BibTeX: Download
, , :
Dynamic task binding for hardware/software reconfigurable networks
SBCCI 2006 - 19th Symposium on Integrated Circuits and Systems Design (Ouro Preto)
In: In Proceedings of SBCCI 2006 2006
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=33750914830&origin=inward
BibTeX: Download
, , , :
Task-accurate performance modeling in SystemC for real-time multi-processor architectures
Design, Automation and Test in Europe, DATE'06 (Munich, 6. März 2006 - 10. März 2006)
In: Proceedings of Design, Automation and Test in Europe (DATE 2006), IEEE Computer Society 2006
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=34047100936&origin=inward
BibTeX: Download
, , , , , :
Are Current ESL Tools Meeting the Requirements of Advanced Embedded Systems?
4th international conference on Hardware/software codesign and system synthesis (Seoul, 22. Oktober 2006 - 25. Oktober 2006)
In: CODES ISSS '06: Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, New York, NY, USA: 2006
DOI: 10.1145/1176254.1176295
BibTeX: Download
:
Stochastic Timing Analysis of Communicating Tasks with Internal State
In: Technical Report 02-2006, 2006
BibTeX: Download
(Techreport)
:
Timing Analysis of Systems of Communicating Tasks with Internal State
In: Technical Report 01-2006,, 2006
BibTeX: Download
(Techreport)
:
Higher-dimensional packing with order constraints
In: SIAM Journal on Discrete Mathematics (2006), S. 1056-1078
ISSN: 0895-4801
BibTeX: Download
, , :
Topic 18: Embedded Parallel Systems
Euro-Par 2006 Parallel Processing (Dresden, 28. August 2006 - 1. September 2006)
In: Proceedings of the 12th International Euro-Par Conference, Berlin, Heidelberg, New York: 2006
DOI: 10.1007/11823285_124
BibTeX: Download
, , , :
Approximationsalgorithmen - Eine Einführung
Wiesbaden: Teubner, 2006
(Leitfäden der Informatik)
ISBN: 978-3-519-00444-8
DOI: 10.1007/978-3-8351-9067-2
BibTeX: Download
:
Identifying FPGA IP-Cores based on Lookup Table Content Analysis
16th International Conference on Field Programmable Logic and Applications (Madrid, 28. August 2006 - 30. August 2006)
In: Proceedings of 16th International Conference on Field Programmable Logic and Applications 2006
DOI: 10.1109/FPL.2006.311255
BibTeX: Download
, , :
FPGA Core Watermarking Based on Power Signature Analysis
IEEE International Conference on Field-Programmable Technology (FPT ) (Bangkok, 13. Dezember 2006 - 15. Dezember 2006)
In: Proceedings of IEEE International Conference on Field-Programmable Technology 2006
DOI: 10.1109/FPT.2006.270313
BibTeX: Download
, :
A Practical Approach for Circuit Routing on Dynamic Reconfigurable Devices
16th IEEE International Workshop on Rapid System Prototyping (RSP) (Montreal, 8. Juni 2005 - 10. Juni 2005)
In: Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping 2005
BibTeX: Download
, , , , , , :
Modular Video Streaming on a Reconfigurable Platform
IFIP VLSI-SoC (Perth)
In: IFIP VLSI-SOC 2005 2005
BibTeX: Download
, , , , :
The Erlangen Slot Machine: A Highly Flexible FPGA-Based Reconfigurable Platform
13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Marriott at Napa Valley, California, 18. April 2005 - 20. April 2005)
In: Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'05) 2005
DOI: 10.1109/FCCM.2005.63
BibTeX: Download
, , , , , , , :
Defragmenting the Module Layout of a Partially Reconfigurable Device
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA ) (Las Vegas, NV, 27. Juni 2005 - 30. Juni 2005)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms 2005
BibTeX: Download
, , , , , , :
DyNoC: A Dynamic Infrastructure for Communication in Dynamically Reconfigurable Devices
International Conference on Field-Programmable Logic and Applications (FPL) (Tampere, 24. August 2005 - 26. August 2005)
In: Proceedings of the International Conference on Field-Programmable Logic and Applications 2005
DOI: 10.1109/FPL.2005.1515715
BibTeX: Download
, , , , , :
Increasing the Flexibility in FPGA-Based Reconfigurable Platforms: The Erlangen Slot Machine
IEEE Conference on Field-Programmable Technology (FPT) (Singapore, 11. Dezember 2005 - 14. Dezember 2005)
In: IEEE 2005 Conference on Field-Programmable Technology 2005
DOI: 10.1109/FPT.2005.1568522
BibTeX: Download
, , , , , :
Partial Configuration Design and Implementation Challenges on Xilinx Virtex FPGAs
Dynamically Reconfigurable Systems, Self-Organization and Emergence (Innsbruck)
In: System Aspects in Organic and Pervasive Computing - Workshop Proceedings - Dynamically Reconfigurable Systems, Self-Organization and Emergence 2005
BibTeX: Download
, , , , :
Packet Routing in Dynamically Changing Networks on Chip
12th Reconfigurable Architectures Workshop (RAW 2005) (Denver, 4. April 2005 - 5. April 2005)
In: 2005 (Hrsg.): Proceedings of the 12th Reconfigurable Architectures Workshop (RAW 2005) 2005
BibTeX: Download
, , , :
Comparison of Techniques for the Automatic Verification of ADeVA Specifications
Dresdener Arbeitstagung Schaltungs- und Systementwurf (DASS 2005) (Dresden, 13. April 2005 - 14. April 2005)
In: Proc. Dresdener Arbeitstagung Schaltungs- und Systementwurf (DASS 2005) 2005
BibTeX: Download
, , , , , , :
Automatische Verification von ADeVA-Spezifikationen
GI/ITG/GMM-Workshop 2005 (Munich, 6. April 2005 - 7. April 2005)
In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 2005
BibTeX: Download
, , , , :
Co-Design of Massively Parallel Embedded Processor Architectures
First ReCoSoC Workshop (Montpellier)
In: Proceedings of the first ReCoSoC Workshop 2005
BibTeX: Download
, , , , , , , , , , , :- Dutta Hritam, Hannig Frank, Ruckdeschel Holger, Teich Jürgen:
Automatic FIR Filter Generation for FPGAs
Embedded Computer Systems: Architectures, Modeling, and Simulation. (Island of Samos, 18. Juli 2005 - 20. Juli 2005)
In: In Proceedings of the 5th International Workshop on Embedded Computer Systems, Architectures, Modeling, and Simulation (SAMOS 2005), Berlin, Heidelberg, New York: 2005
BibTeX: Download
Control Path Generation for Mapping Partitioned Dataflow-dominant Algorithms onto Array Architectures
In: Technical Report 03-2005, 2005
BibTeX: Download
(Techreport)
, , :
Representing Models of Computation in SystemC
GI/ITG/GMM-Workshop 2005 (Munich, 6. April 2005 - 7. April 2005)
BibTeX: Download
, , :
Syntax and execution behavior of SysteMoC
In: Technical Report 04-2005, 2005
BibTeX: Download
(Techreport)
, , :
Output Serialization for FPGA-based and Coarse-grained Processor Arrays
International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA ) (Las Vegas, NV, 27. Juni 2005 - 30. Juni 2005)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms 2005
BibTeX: Download
, :
Automatic Model-Based Design Space Exploration for Embedded Systems - A System Level Approach (Dissertation, 2005)
BibTeX: Download
:
Initial Population Construction for Convergence Improvement of MOEAs
In: Carlos A. Coello Coello, Arturo Hernández Aguirre, and Eckart Zitzler (Hrsg.): Evolutionary Multi-Criterion Optimization, Springer, 2005, S. 191-205 (Lecture Notes in Computer Science, Bd.3410)
BibTeX: Download
, , :
SPI-Workbench – Modellierung, Analyse und Optimierung eingebetteter Systeme
In: Armin B. Cremers, Rainer Manthey, Peter Martini, and Volker Steinhage (Hrsg.): Proceedings of INFORMATIK 2005 - Informatik LIVE, 2005
BibTeX: Download
, , , , , , , :
A system-level approach to hardware reconfigurable systems
2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005 (Shanghai, 18. Januar 2005 - 21. Januar 2005)
In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC'05) 2005
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84861440285&origin=inward
BibTeX: Download
, , , :
Modeling and analysis of indirect communication in Particle Swarm Optimization
2005 IEEE Congress on Evolutionary Computation, IEEE CEC 2005 (Edinburgh)
In: Proceedings of the 2005 IEEE Congress on Evolutionary Computation 2005
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=27144530244&origin=inward
BibTeX: Download
, , :
Windowed Synchronous Data Flow
In: Technical Report 02-2005, 2005
BibTeX: Download
(Techreport)
, , :
Quad-trees: A Data structure for storing Pareto-sets in Multi-objective Evolutionary Algorithms with Elitism
In: Ajith Abraham and Lakhmi Jain and Robert Goldberg (Hrsg.): Evolutionary Multiobjective Optimization, London: Springer, 2005, S. 81-104 (Advanced Information and Knowledge Processing)
BibTeX: Download
, :
Using symbolic feasibility tests during design space exploration of heterogeneous multi-processor systems
IEEE 16th International Conference on Application-Specific Systems, Architectures, and Processors, ASAP 2005 (Samos)
In: Proceedings of Application-specific Systems, Architectures and Processors (ASAP) 2005
DOI: 10.1109/ASAP.2005.64
BibTeX: Download
, , , :
Improving EA-based design space exploration by utilizing symbolic feasibility tests
GECCO 2005 - Genetic and Evolutionary Computation Conference (Washington, D.C., 25. Juni 2005 - 29. Juni 2005)
In: Beyer H.G.; O'Reilly U.M.; Arnold D.; Banzhaf W.; Blum C.; Bonabeau E.W.; Cantu-Paz E.; Dasgupta D.; Deb K.; et al (Hrsg.): Proceedings of Genetic and Evolutionary Computation Conference (GECCO) 2005
DOI: 10.1145/1068009.1068336
BibTeX: Download
, , :
Distributed HW/SW-partitioning for embedded reconfigurable networks
Design, Automation and Test in Europe, DATE '05 (Munich, 7. März 2005 - 11. März 2005)
In: Proceedings of DATE 2005, Munich 2005
DOI: 10.1109/DATE.2005.123
BibTeX: Download
, , :
Online hardware/software partitioning in networked embedded systems
2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005 (Shanghai, 18. Januar 2005 - 21. Januar 2005)
In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC'05) 2005
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=33745634653&origin=inward
BibTeX: Download
, , :
Verteilte HW/SW-Partitionierung für fehlertolerante rekonfigurierbare Netzwerke
17. ITG/GI/GMM Workshop für Testmethoden und Zuverlässigkeit und Fehlertoleranz von Schaltungen und Systemen (Innsbruck, 27. Februar 2005 - 1. März 2005)
In: Proceedings of 17. ITG/GI/GMM Workshop für Testmethoden und Zuverlässigkeit und Fehlertoleranz von Schaltungen und Systemen 2005
BibTeX: Download
, , :
Evaluation of Watermarking methods for FPGA-based IP-cores
In: Technical Report 01-2005, 2005
BibTeX: Download
(Techreport)
, :
Optimization Algorithms for Dynamic Reconfigurable Embedded Systems
International Conference on Field-Programmable Logic and Applications (FPL) (Antwerp, 30. August 2004 - 1. September 2004)
In: Proceedings of International Conference on Field-Programmable Logic and Applications (FPL) 2004
BibTeX: Download
:
A New Approach for On-line Placement on Reconfigurable Devices
International Parallel and Distributed Processing Symposium (IPDPS-2004), Reconfigurable Architectures Workshop (RAW-2004), (Santa Fé NM, 26. April 2004 - 30. April 2004)
In: Proc. of the International Parallel and Distributed Processing Symposium (IPDPS-2004), Reconfigurable Architectures Workshop 2004
BibTeX: Download
, , , :
Designing Partial and Dynamically Reconfigurable Applications on Xilinx Virtex-II FPGAs using HandelC
In: Technical Report 03-2004, 2004
BibTeX: Download
(Techreport)
, , , , , :
Generation of Distributed Arithmetic Designs for Reconfigurable Applications
GI/ITG Dynamically Reconfigurable Systems Workshop at the 17th International Conference on Architecture of Computing Systems Organic and Pervasive Computing (Augsburg)
In: GI/ITG Dynamically Reconfigurable Systems Workshop at the 17th International Conference on Architecture of Computing Systems Organic and Pervasive Computing 2004
BibTeX: Download
, , , :
Design and Implementation of Reconfigurable Multiple Bus on Chip (RMBoC)
In: Technical Report 02-2004, 2004
BibTeX: Download
(Techreport)
, , , :
Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices
International Conference on Field-Programmable Logic and Applications (FPL) (Antwerp, 30. August 2004 - 1. September 2004)
In: Proceedings of International Conference on Field-Programmable Logic and Applications (FPL), Berlin: 2004
BibTeX: Download
, , , , :
FPGA Architecture Extensions for Preemptive Multitasking and Hardware Defragmentation
IEEE International Conference on Field-Programmable Technology (FPT) (Brisbane, 6. Dezember 2004 - 8. Dezember 2004)
In: Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology 2004
BibTeX: Download
, , , , :
A Dynamic NoC Approach for Communication in Reconfigurable Devices
International Conference on Field-Programmable Logic and Applications (FPL) (Antwerp, 30. August 2004 - 1. September 2004)
In: Proceedings of International Conference on Field-Programmable Logic and Applications (FPL), London: 2004
BibTeX: Download
, , , , :
Task Scheduling for Heterogeneous Reconfigurable Computers
17th Symposium on Integrated Circuits and Systems Design (SBCCI) (Pernambuco, 7. September 2004 - 11. September 2004)
In: Proceedings of the 17th Symposium on Integrated Circuits and Systems Design (SBCCI) 2004
BibTeX: Download
, , , , :
A Dynamic Scheduling and Placement Algorithm for Reconfigurable Hardware
17th International Conference on Architecture of Computing Systems (ARCS 2004) (Augsburg, 23. März 2004 - 26. März 2004)
In: Proceedings of 17th International Conference on Architecture of Computing Systems, Lecture Notes in Computer Science, Berlin, Heidelberg: 2004
BibTeX: Download
, , :
Systematic Integration of Parameterized Local Search Techniques in Evolutionary Algorithms
Genetic and Evolutionary Computation Conference (Seattle, Washington, 26. Juni 2004 - 30. Juni 2004)
In: Proceedings of the Genetic and Evolutionary Computation Conference, Berlin, Heidelberg: 2004
BibTeX: Download
, , , :
Systematic Integration of Parameterized Local Search Into Evolutionary Algorithms
In: IEEE Transactions on Evolutionary Computation 8 (2004), S. 137-155
ISSN: 1089-778X
DOI: 10.1109/TEVC.2004.823471
BibTeX: Download
, , , :
Design Automation for Massively Parallel Processor Arrays: Transforming Regular Algorithms to Reconfigurable Hardware (Dissertation, 2004)
BibTeX: Download
:
Chapter 6 in Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation
New York, U.S.A.,: 2004
(Signal Processing and Communication)
ISBN: 0-8247-4711-9
BibTeX: Download
, , (Hrsg.):
CoreMap: A Rapid Prototyping Environment for Distributed Reconfigurable Systems
In: International Journal of Embedded Systems (2004)
ISSN: 1741-1068
BibTeX: Download
:
Dynamic Reconfiguration of Distributed Arithmetic Controllers: Design Space Exploration and Trade-off Analysis
Reconfigurable Architecture Workshop 2004 (Santa Fé NM, 26. April 2004 - 30. April 2004)
In: Proc. Reconfigurable Architecture Workshop 2004 2004
BibTeX: Download
, :
Molecular Force Field Parameterization using Multi-Objective Evolutionary Algorithms
Congress on Evolutionary Computation (CEC '04) (Portland, 20. Juni 2004 - 23. Juni 2004)
In: Proceedings of the Congress on Evolutionary Computation (CEC '04) 2004
BibTeX: Download
, , , , :
Mapping of Regular Nested Loop Programs to Coarse-grained Reconfigurable Arrays -- Constraints and Methodology
18th International Parallel and Distributed Processing Symposium (IPDPS 2004), (Santa Fe, NM, 26. April 2004 - 30. April 2004)
In: Proceedings of the 18th International Parallel and Distributed Processing Symposium 2004
BibTeX: Download
, , :
Regular Mapping for Coarse-grained Reconfigurable Architectures
IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP ) (Montreal, Quebec, 17. Mai 2004 - 21. Mai 2004)
In: Proceedings of the 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing 2004
BibTeX: Download
, , :
Automatic and Optimized Generation of Compiled High-Speed RTL Simulators
Workshop on Compilers and Tools for Constrained Embedded Systems (CTCES 2004) (Washington, DC)
In: Proceedings of the Workshop on Compilers and Tools for Constrained Embedded Systems (CTCES 2004) 2004
BibTeX: Download
, , :
Dynamic Piecewise Linear/Regular Algorithms
Fourth International Conference on Parallel Computing in Electrical Engineering (PARELEC ) (Dresden, 7. September 2004 - 10. September 2004)
In: Proceedings of the Fourth International Conference on Parallel Computing in Electrical Engineering (PARELEC 2004) 2004
BibTeX: Download
, :
Energy Estimation and Optimization for Piecewise Regular Processor Arrays
In: Chapter 6 in Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation, New York, U.S.A.,: Marcel Dekker, 2004, S. 107-126 (Signal Processing and Communication)
ISBN: 0-8247-4711-9
BibTeX: Download
, :
Resource Constrained and Speculative Scheduling of an Algorithm Class with Run-Time Dependent Conditionals
15th IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP ) (Galveston, TX, 27. September 2004 - 29. September 2004)
In: Proceedings of the 15th IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP 2004) 2004
BibTeX: Download
, :
Resource Constrained and Speculative Scheduling of Dynamic Piecewise Regular Algorithms
In: Technical Report 01-2004, 2004
BibTeX: Download
(Techreport)
, :
Design Space Exploration for Distributed Hardware Reconfigurable Systems
In: Jürgen Becker, Marco Platzner, and Serge Vernalde (Hrsg.): Field-Programmable Logic and Applications, Berlin, Heidelberg: Springer, 2004, S. 1171 (Lecture Notes in Computer Science, Bd.3203)
BibTeX: Download
:
Basic OS support for distributed reconfigurable hardware
International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'04) (Samos, 19. Juli 2004 - 21. Juli 2004)
In: Proceedings of the International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'04) 2004
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=35048878778&origin=inward
BibTeX: Download
, , :
Modeling and Analysis of Distributed Reconfigurable Hardware
Dresdener Arbeitstagung Schaltungs- und Systementwurf (DASS 2004) (Dresden, 19. April 2004 - 20. April 2004)
In: Proc Dresdener Arbeitstagung Schaltungs- und Systementwurf (DASS 2004) 2004
BibTeX: Download
, :
Preemptive Hardware Task Management
International Conference on Field-Programmable Logic and Applications (FPL) (Antwerp, 30. August 2004 - 1. September 2004)
In: Proceedings of International Conference on Field-Programmable Logic and Applications (FPL), Berlin, Heidelberg: 2004
BibTeX: Download
:
Platform-Independent Methodology for Partial Reconfiguration
ACM Conference Computing Frountiers (CF 04), (Ischia, 14. April 2004 - 16. April 2004)
In: Proceedings of the 2004 ACM conference Computing Frountiers 2004
BibTeX: Download
, :
High-speed event-driven RTL compiled simulation
International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'04) (Samos, 19. Juli 2004 - 21. Juli 2004)
In: Proceedings of the International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'04) 2004
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=35048851603&origin=inward
BibTeX: Download
, , :
The Randomized Sample Tree: A Data Structure for Externally Stored Virtual Environments
In: Presence-Teleoperators and Virtual Environments Vol. 13, No. 6, The MIT Press (2004), S. 617-637
ISSN: 1054-7460
DOI: 10.1162/1054746043280619
URL: http://www.upb.de/cs/ag-madh/WWW/wanka/pubs/abstracts/VRST02ABS.html
BibTeX: Download
, , , , , :
Covering Pareto-optimal fronts by subswarms in multi-objective particle swarm optimization
Proceedings of the 2004 Congress on Evolutionary Computation, CEC2004 (Portland, OR, 20. Juni 2004 - 23. Juni 2004)
In: Proceedings of the Congress on Evolutionary Computation (CEC '04) 2004
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=4344649636&origin=inward
BibTeX: Download
, :
Multi-Objective Particle Swarm Optimization
Dagstuhl Seminar No. 04461
In: Dagstuhl Seminar No. 04461 2004
BibTeX: Download
, :
Analysis of Dataflow Programs with Interval-Limited Data-Rates
Computer Systems: Architectures, Modeling, and Simulation (Samos, 19. Juli 2004 - 21. Juli 2004)
In: Computer Systems: Architectures, Modeling, and Simulation, Berlin: 2004
BibTeX: Download
, :
A New Approach for Reconfigurable Massively Parallel Computers
IEEE International Conference on Field-Programmable Technology, (Tokyo, 15. Dezember 2003 - 17. Dezember 2003)
In: Proceedings of the IEEE International Conference on Field-Programmable Technology 2003
DOI: 10.1109/FPT.2003.1275784
BibTeX: Download
, , , :
Temporal Task Clustering for Online Placement on Reconfigurable Hardware
IEEE International Conference on Field-Programmable Technology, (Tokyo, Japan,, 15. Dezember 2003 - 17. Dezember 2003)
In: Proceedings of the IEEE International Conference on Field-Programmable Technology 2003
BibTeX: Download
, , :
Speeding up Online Placement for XILINX FPGAs by Reducing Configuration Overhead
IFIP International Conference on VLSI-SOC, (Darmstadt, 1. Dezember 2003 - 3. Dezember 2003)
In: Proceedings of the IFIP International Conference on VLSI-SOC 2003
BibTeX: Download
, :
Design and Implementation of Digital Linear Control Systems on Reconfigurable Hardware
In: EURASIP J APPL SIG P (2003), S. 1-9
ISSN: 1110-8657
DOI: 10.1155/S1110865703301040
BibTeX: Download
, , , , , :
A High Performance VLIW Processor for Finite Field Arithmetic
International Parallel and Distributed Processing Symposium (IPDPS-2003), (Nice)
In: Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS-2003) 2003
BibTeX: Download
, , , , :
Domain-Specific Processors: Systems, Architectures, Modeling and Simulation
New York: Marcel Dekker, 2003
(Domain-Specific Processors: Systems, Architectures, Modeling and Simulation)
BibTeX: Download
, , :- Bobda Christophe, T. Lehmann, A. Rettberg, M. Zanella:
A Fully Self-Timed Bit-Serial Pipeline Architecture for Embedded Systems
Design, Automation and Test in Europe (DATE 2003), (Munich, 3. März 2003 - 7. März 2003)
In: Proceedings of Design, Automation and Test in Europe 2003
DOI: 10.1109/DATE.2003.1253767
BibTeX: Download - Bobda Christophe, K. Danne, H. Kalte:
Increasing Efficiency by Partial Hardware Reconfiguration: Case Study of a Multi-Controller System
International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA), (Las Vegas, Nevada, 23. Juni 2003 - 26. Juni 2003)
In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA) 2003
BibTeX: Download - Bobda Christophe, K. Danne, H. Kalte:
Run-time Exchange of Mechatronic Controllers Using Partial Hardware Reconfiguration
International Conference on Field Programmable Logic and Applications (FPL2003), (Lisbon, 1. September 2003 - 3. September 2003)
In: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2003) 2003
BibTeX: Download - Bobda Christophe, K. Danne, Linarth Andre Guilherme:
Efficient Implementation of the Singular Value Decomposition on a Reconfigurable System
International Conference on Field Programmable Logic and Applications (FPL2003), (Lisbon, 1. September 2003 - 3. September 2003)
In: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2003) 2003
BibTeX: Download
Covering Pareto Sets by Multilevel Evolutionary Subdivision Techniques
Second International Conference on Evolutionary Multi-Criterion Optimization (EMO), (Faro)
In: Proceedings of the Second International Conference on Evolutionary Multi-Criterion Optimization (EMO) 2003
BibTeX: Download
, , , :
Fault tolerance analysis of distributed reconfigurable systems using SAT-based techniques
13th International Conference on Field Programmable Logic and Applications (Lisbon, 1. September 2003 - 3. September 2003)
In: Proceedings of 13th International Conference on Field Programmable Logic and Applications 2003
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=35248814417&origin=inward
BibTeX: Download
, , , :
Buildabong: A framework for architecture/compiler co-exploration for ASIPs
In: Journal of Circuits Systems and Computers 12 (2003), S. 353-375
ISSN: 0218-1266
DOI: 10.1142/S0218126603000799
BibTeX: Download
, , , :
Improved time domain simulation of optical multimode intrasystem interconnects
Design, Automation and Test in Europe (DATE 2003), (Munich, 3. März 2003 - 7. März 2003)
In: Proceedings of Design, Automation and Test in Europe 2003
DOI: 10.1109/DATE.2003.1253758
BibTeX: Download
, , , , :
FPGA designs of parallel high performance GF(2^233) multipliers
Proceedings of the 2003 IEEE International Symposium on Circuits and Systems (Bangkok, 25. Mai 2003 - 28. Mai 2003)
In: Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS-2003) 2003
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=0038790049&origin=inward
BibTeX: Download
, , , , :
Basic OS Support for Distributed Reconfigurable Hardware
Third International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'03) (Samos, 21. Juli 2003 - 23. Juli 2003)
In: Proceedings of the Third International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'03) 2003
BibTeX: Download
, , :
ReCoNet: Modeling and implementation of fault tolerant distributed reconfigurable hardware
16th Symposium on Integrated Circuits and Systems Design, SBCCI 2003 (São Paulo, 8. September 2003 - 11. September 2003)
In: Proceedings of the 16th Symposium on Integrated Circuits and Systems Design (SBCCI2003) 2003
DOI: 10.1109/SBCCI.2003.1232851
BibTeX: Download
, , :
Hierachical Synthesis of Embedded Systems Using Evolutionary Algorithms
In: Drechsler, R. and Drechsler, N (Hrsg.): Evolutionary Algorithms in System Design, Boston, Dordrecht, London: Kluwer Academic Publishers, 2003, S. 63-104 (Genetic Algorithms and Evolutionary Computation (GENA))
BibTeX: Download
, , , , :
Accelerating Design Space Exploration
5th International Conference on ASIC (ASICON 2003) (Beijing, 21. Oktober 2003 - 24. Oktober 2003)
In: Proceedings of 5th International Conference on ASIC (ASICON 2003) 2003
BibTeX: Download
, :
Accelerating design space exploration using Pareto-front arithmetics [SoC design]
Asia and South Pacific Design Automation Conference, ASP-DAC 2003 (Kitakyushu, 21. Januar 2003 - 24. Januar 2003)
In: Proceedings ASP-DAC 2003, Asia and South Pacific Design Automation Conference 2003
DOI: 10.1109/ASPDAC.2003.1195073
BibTeX: Download
, :
SAT-based techniques in system synthesis
Design, Automation and Test in Europe Conference and Exhibition, DATE 2003 (Munich, 3. März 2003 - 7. März 2003)
In: Proceedings of Design, Automation and Test in Europe (DATE 2003) 2003
DOI: 10.1109/DATE.2003.1253784
BibTeX: Download
, , , :
Synthesizing Passive Networks by applying Genetic Programming and Evolution Strategies
Congress on Evolutionary Computation (CEC'03), (Canberra, 8. Dezember 2003 - 12. Dezember 2003)
In: Proceedings of the Congress on Evolutionary Computation (CEC'03) 2003
DOI: 10.1109/CEC.2003.1299883
BibTeX: Download
, , , :
Strategies for finding good local guides in multi-objective particle swarm optimization
Swarm Intelligence Symposium (Indianapolis, 24. April 2003 - 26. April 2003)
In: Proceedings of the Swarm Intelligence Symposium 2003
BibTeX: Download
, :
The role of e-dominance in Multi-Objective Particle Swarm Optimization Methods
2003 Congress on Evolutionary Computation, CEC 2003 (Canberra, 8. Dezember 2003 - 12. Dezember 2003)
In: Proceedings of the Congress on Evolutionary Computation (CEC'03) 2003
DOI: 10.1109/CEC.2003.1299886
BibTeX: Download
, :
Entwurfsautomatisierung elektronischer Systeme auf Systemebene
11. EIS-Workshop, Entwurf Integrierter Schaltungen und Systeme, (Erlangen)
In: VDE/VDI-Gesellschaft Mikroelektronik, Mikro- und Feinwerktechnik (GMM), GMM-Fachbericht, Berlin: 2003
BibTeX: Download
:
Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms
In: Journal of Supercomputing (2003), S. 149-165
ISSN: 0920-8542
DOI: 10.1023/A:1024447517501
BibTeX: Download
, :
Solving hierarchical optimization problems using MOEAs
In: Carlos M. Fonseca, Peter J. Fleming, Eckart Zitzler, Kalyanmoy Deb, and Lothar Thiele (Hrsg.): Evolutionary Multi-Criterion Optimization, Berlin, Heidelberg, New York: Springer, 2003, S. 162-176 (Lecture Notes in Computer Science, Bd.2632)
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=35248891516&origin=inward
BibTeX: Download
, , , :
A Model for Buffer Exploration in EDF Scheduled Embedded Systems
11. EIS-Workshop, Entwurf Integrierter Schaltungen und Systeme, (Erlangen)
In: VDE/VDI-Gesellschaft Mikroelektronik, Mikro- und Feinwerktechnik (GMM), GMM-Fachbericht, Berlin: 2003
BibTeX: Download
, :
Tradeoff Analysis of FPGA Based Elliptic Curve Cryptography
IEEE International Symposium on Circuits and Systems (ISCAS-02), (Scottsdale, Arizona, 26. Mai 2002 - 29. Mai 2002)
In: Proc. of IEEE International Symposium on Circuits and Systems 2002
BibTeX: Download
, , , , :
Reconfigurable Implementation of Elliptic Curve Crypto Algorithms
9th Reconfigurable Architectures Workshop, (Fort Lauderdale, Florida)
In: Proc. The 9th Reconfigurable Architectures Workshop 2002
BibTeX: Download
, , , , :
Interface Synthesis for FPGA Based VLSI Processor Arrays
The International Conference on Engineering of Reconfigurable Sytsems and Algorithms (ERSA02), (Las Vegas, Nevada, 24. Juni 2002 - 27. Juni 2002)
In: Proc. of The International Conference on Engineering of Reconfigurable Sytsems and Algorithms 2002
BibTeX: Download
, :
Composition of Efficient Nested BSP Algorithms: Minimum Spanning Tree Computation as an Instructive Example
Int. Conf. on Parallel and Distributed Processing Techniques and Applications (PDPTA)
In: Proceedings of the Int. Conf. on Parallel and Distributed Processing Techniques and Applications (PDPTA) 2002
BibTeX: Download
, , :
Embedded Processor Design Challenges
In: Embedded Processor Design Challenges, Berlin, Germany: Springer, 2002 (Lecture Notes in Computer Science (LNCS), Bd.Vol. 2268)
BibTeX: Download
, , :
Architecture/Compiler Co-Exploration for ASIPs
In: International Journal of Circuits, Systems and Signal Processing (2002)
ISSN: 1998-4464
BibTeX: Download
, , , :
Efficient Architecture/Compiler Co-Exploration for ASIPs
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES2002), (Grenoble, 8. Oktober 2002 - 11. Oktober 2002)
In: ACM SIG Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems 2002
DOI: 10.1145/581630.581635
BibTeX: Download
, , , :
Energy Estimation for Piecewise Regular Processor Arrays
Second International Samos Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS 2002), (Island of Samos, 22. Juli 2002 - 25. Juli 2002)
In: Proceedings of the Second International Samos Workshop on Systems, Architectures, Modeling, and Simulation 2002
BibTeX: Download
, :
Energy Estimation of Nested Loop Programs
14th Annual ACM Symposium on Parallel Algorithms and Architectures (SPAA 2002), (Winnipeg, Manitoba, 10. August 2002 - 13. August 2002)
In: Proceedings 14th Annual ACM Symposium on Parallel Algorithms and Architectures 2002
BibTeX: Download
, :
Generation of Distributed Loop Control
In: E. Deprettere, J. Teich, and S. Vassiliadis (Hrsg.): Embedded Processor Design Challenges, Lecture Notes in Computer Science (LNCS), Berlin, Germany: Springer, 2002, S. 154-170
BibTeX: Download
, , :
Flexibility/cost-tradeoffs of platform-based systems
In: E. Deprettere, J. Teich, and S. Vassiliadis (Hrsg.): Embedded Processor Design Challenges, Springer Verlag, 2002, S. 38-56 (Lecture Notes in Computer Science (LNCS), Bd.2268)
ISBN: 9783540433224
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84893789262&origin=inward
BibTeX: Download
, , , :
Modellierung Rekonfigurierbarer Systemarchitekturen
GI / ITG / GMM Workshop - Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (Tuebingen)
BibTeX: Download
, , , :
System design for flexibility
2002 Design, Automation and Test in Europe Conference and Exhibition, DATE 2002 (Paris)
In: Proc. DATE 2002, Design, Automation and Test in Europe 2002
DOI: 10.1109/DATE.2002.998399
BibTeX: Download
, , , :
The randomized sample tree: A data structure for interactive walkthroughs in externally stored virtual environments
Proceedings of the ACM Symposium on Virtual Reality Software and Technology (VRST) (Hong Kong)
In: Sun H.; Peng Q. (Hrsg.): Proc. ACM Symp. on Virtual Reality Software and Technology (VRST) 2002
DOI: 10.1145/585740.585764
BibTeX: Download
, , , , , :
Comparison of data structures for storing Pareto-sets in MOEAs
2002 Congress on Evolutionary Computation, CEC 2002 (Honolulu, HI)
DOI: 10.1109/CEC.2002.1007035
BibTeX: Download
, , :- Köster M., Teich J.:
(Self-)reconfigurable finite state machines: Theory and implementation
2002 Design, Automation and Test in Europe Conference and Exhibition, DATE 2002 (Paris)
In: Proc. DATE 2002, Design, Automation and Test in Europe 2002
DOI: 10.1109/DATE.2002.998356
BibTeX: Download
SPI - A System Model for Heterogeneously Specified Embedded Systems
In: IEEE Transactions on Very Large Scale Integration (Vlsi) Systems 10 (2002), S. 379-389
ISSN: 1063-8210
DOI: 10.1109/TVLSI.2002.807767
BibTeX: Download
, , , , :
Exact Partitioning of Affine Dependence Algorithms
In: Embedded Processor Design Challenges, Lecture Notes in Computer Science (LNCS), Berlin, Germany: Springer, 2002, S. 135-151
BibTeX: Download
, :
Any load-balancing regimen for evolving tree computations on circulant graphs is asymptotically optimal
28th International Workshop on Graph-Theoretic Concepts in Computer Science (WG) (Cesky Krumlov)
In: Proc. 28th International Workshop on Graph-Theoretic Concepts in Computer Science (WG) 2002
DOI: 10.1007/3-540-36379-3_36
BibTeX: Download
:
Hierarchical Microprocessor Design Using XASM
EUROCAST 2001 (Las Palmas de Gran Canaria, 19. Februar 2001 - 23. Februar 2001)
In: Proc. EUROCAST 2001 2001
BibTeX: Download
, , , , :
Hardware Supported Sorting: Design and Tradeoff Analysis
In: In System Design Automation, Kluwer Academic Publishers, 2001, S. 97-107
BibTeX: Download
, , , :
Boundary control: A new distributed control architecture for space-time transformed (VLSI) processor arrays
35th Asilomar Conference on Signals, Systems and Computers (Pacific Grove, CA)
In: Matthews M.B. (Hrsg.): Proc. 35th IEEE Asilomar Conf. on Signals, Systems and Computers 2001
URL: https://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=0035573058&origin=inward
BibTeX: Download
, , :
Hybrid Global/Local Search Strategies for Dynamic Voltage Scaling in Embedded Multiprocessors
9th Int. Workshop on Hardware/Software Co-Design, (Copenhagen, 25. April 2001 - 27. April 2001)
In: Proc. 9th Int. Workshop on Hardware/Software Co-Design 2001
BibTeX: Download
, , , :
EXPLORA- generic design space exploration during embedded system synthesis
Springer New York LLC, 2001
ISBN: 9781475745351
DOI: 10.1007/978-0-387-35409-5_21
BibTeX: Download
, , :
FunState - An Internal Design Representation for Codesign
In: IEEE Transactions on Very Large Scale Integration (Vlsi) Systems (2001), S. 524-544
ISSN: 1063-8210
DOI: 10.1109/92.931229
BibTeX: Download
, , , , , :
Extending Partial Suborders
In: Hajo Broersma, Ulrich Faigle, Johann Hurink and Stefan Pickl (Hrsg.): Electronic Notes in Discrete Mathematics, Elsevier BV, 2001
BibTeX: Download
, , :
Higher-Dimensional Packing with Order Constraints
7th Workshop on Algorithms and Data Structures,
In: Proc. 7th Workshop on Algorithms and Data Structures, Lecture Notes in Computer Science (LNCS), Vol. 2125 2001
BibTeX: Download
, , :
Optimal FPGA Module Placement with Temporal Precedence Constraints
DATE 2001, Design, Automation and Test in Europe, (Munich, 13. März 2001 - 16. März 2001)
In: Proc. DATE 2001, Design, Automation and Test in Europe 2001
DOI: 10.1109/DATE.2001.915093
BibTeX: Download
, , :
Optimization of Dynamic Hardware Reconfigurations
In: Journal of Supercomputing (2001), S. 57-75
ISSN: 0920-8542
DOI: 10.1023/A:1011188411132
BibTeX: Download
, , :
Design Space Characterization for Architecture/Compiler Co-Exploration
International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES 2001), (Atlanta, Georgia)
In: ACM SIG Proceedings International Conference on Compilers, Architectures and Synthesis for Embedded Systems 2001
BibTeX: Download
, , , , :
Hierarchical Modeling and Simulation of Embedded Processors Using ASMs
nternational Workshop on Software and Compilers for Embedded Systems, (SCOPES 2001), (St.Goar, 20. März 2001 - 22. März 2001)
In: International Workshop on Software and Compilers for Embedded Systems 2001
BibTeX: Download
, , :
Design Space Exploration for Massively Parallel Processor Arrays
Sixth International Conference on Parallel Computing Technologies (PaCT-2001) (Novosibirsk, 3. September 2001 - 7. September 2001)
In: Proc. of the Sixth International Conference on Parallel Computing Technologies (PaCT-2001) 2001
BibTeX: Download
, :
Parallel Bridging Models and Their Impact on Algorithm Design
Int. Conf. on Computational Science (ICCS) 2001
In: Proceedings of the Int. Conf. on Computational Science (ICCS) 2001
BibTeX: Download
, :
Exact Partitioning of Affine Dependence Algorithms
SAMOS - Systems, Architectures, Modeling and Simulation Workshop, (Island of Samos)
In: Proc. SAMOS - Systems, Architectures, Modeling and Simulation Workshop 2001
BibTeX: Download
:
Pareto-Front Exploration with Uncertain Objectives
First International Conference on Evolutionary Multi-Criterion Optimization, (Zurich, 7. März 2001 - 9. März 2001)
In: Proc. First International Conference on Evolutionary Multi-Criterion Optimization, In Lecture Notes in Computer Science (LNCS), Vol. 1993 2001
BibTeX: Download
:
Symbiose von Hardware und Software
In: K. J. Buchenrieder (Hrsg.): Hardware/Software Codesign, Bruchsal: IT Press, 2001, S. 79-107 (Informationsverarbeitung und Technische Informatik)
BibTeX: Download
:
Synthesis and Optimization of Digital Hardware/Software Systems
In: R. Merker and W. Schwarz (Hrsg.): In System Design Automation, Kluwer Academic Publishers, 2001, S. 3-26
BibTeX: Download
:
Synthesis of FPGA Implementations from Loop Algorithms
First International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA01), (Las Vegas, Nevada, 25. Juni 2001 - 28. Juni 2001)
In: Proc. of the First International Conference on Engineering of Reconfigurable Systems and Algorithms 2001
BibTeX: Download
, :
Hardware-Supported Sorting: Design and Tradeoff Analysis
Workshop on System Design Automation - SDA 2000 (Rathen)
In: Workshop on System Design Automation - SDA 2000 2000
BibTeX: Download
, , , :
Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter
Int. Conf. on Application Specific Systems, Architectures, and Processors, pp. 299-308, Boston, MA, U.S.A. IEEE Computer Society Press, July 2000Proc. ASAP'00, the Int. Conf. on Application Specific Systems, Architectures, and Processors, (Boston, MA, 10. Juli 2000 - 12. Juli 2000)
In: Proc. ASAP'00, the Int. Conf. on Application Specific Systems, Architectures, and Processors, pp. 299-308, Boston, MA, U.S.A. IEEE Computer Society Press, July 2000Proc. ASAP'00, the Int. Conf. on Application Specific Systems, Architectures, and Processors 2000
BibTeX: Download
, , , :
Automated Design Space Exploration on System Level for Embedded Systems
Ninth Annual International HDL Conference and Exhibition (HDL Conf. 2000), (San Jose, CA)
In: Proc. Ninth Annual International HDL Conference and Exhibition 2000
BibTeX: Download
, , , :
Evolutionary Algorithms for the Synthesis of Embedded Software
In: IEEE Transactions on Very Large Scale Integration (Vlsi) Systems 8 (2000), S. 452-456
ISSN: 1063-8210
DOI: 10.1109/92.863627
BibTeX: Download
, , :
Multidimensional Exploration of Software Implementations for DSP Algorithms
In: Journal of VLSI Signal Processing (2000), S. 83-98
ISSN: 0922-5773
DOI: 10.1023/A:1008170728742
BibTeX: Download
, , :
Optimizing the Efficiency of Parameterized Local Search within Global Search
Int. Conf. on Evolutionary Computation, (La Jolla, CA)
In: Proc. of CEC'2000, the Int. Conf. on Evolutionary Computation 2000
BibTeX: Download
, , :
P-based System Design with the PARADISE Design Environment
In: EUROMICRO Journal (2000)
ISSN: 0167-3858
BibTeX: Download
, , , , , , , :
Embedded System Design using the SPI Workbench
Forum on Design Languages 2000, (Tübingen)
In: Proc. FDL'00 2000
BibTeX: Download
, , , , , , , , :
EXPLORA - Generic Design Space Exploration During Embedded System Synthesis
Int. IFIP Workshop on Distributed and Parallel Embedded Systems, (Schloss Eringerfeld)
In: Proc. DIPES 2000, Int. IFIP Workshop on Distributed and Parallel Embedded Systems 2000
BibTeX: Download
, , :
SPI Workbench - Entwurf gemischt reaktiv/transformativer Systeme
Workshop Architekturentwurf für eingebettete Systeme, (Karlsruhe)
In: AES 2000, Workshop Architekturentwurf für eingebettete Systeme 2000
BibTeX: Download
, , , :
A Joined Architecture/Compiler Environment for ASIPs
International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES 2000), (San Jose, CA, 17. November 2000 - 18. November 2000)
In: ACM SIG Proc. International Conference on Compilers, Architectures and Synthesis for Embedded Systems 2000
BibTeX: Download
, , , :
BUILDABONG: A Rapid Prototyping Environment for ASIPs
DSP-Deutschland 2000, (Munich)
In: Proc. DSP-Deutschland 2000 2000
BibTeX: Download
, , , :- Wolfram Hardt, Franz Rammig, Carsten Böke, Joachim Stroop, Achim Rettberg, Del Castillo, Bernd Kleinjohann, Jürgen Teich:
IP-based System Design within the PARADISE Design Environment
In: Journal of Systems Architecture (2000)
ISSN: 1383-7621
BibTeX: Download
Description and Simulation of Microprocessor Instruction Sets Using ASMs
International Workshop on Abstract State Machines (, 19. März 2000 - 24. März 2000)
In: Lecture Notes in Computer Science (LNCS) 1912 2000
DOI: 10.1007/3-540-44518-8_15
BibTeX: Download
, , :
Periodification scheme: Constructing sorting networks with constant period
In: Journal of the Acm 47 (2000), S. 944-967
ISSN: 0004-5411
DOI: 10.1145/355483.355490
BibTeX: Download
, , , :
Regular State Machines
In: Parallel Algorithms and Applications (2000), S. 265-300
ISSN: 1063-7192
BibTeX: Download
, , :
Symbiose von Hardware und Software
In: ForschungsForum 2000, 2000, S. 82-87
BibTeX: Download
(Techreport)
:
3D Exploration of Software Schedules for DSP Algorithms
7th Int. Workshop on Hardware/Software Co-Design, (Rome, 3. Mai 1999 - 5. Mai 1999)
In: Proc. CODES'99, the 7th Int. Workshop on Hardware/Software Co-Design 1999
BibTeX: Download
, , :
Evolutionary Algorithm Based Exploration of Software Schedules for Digital Signal Processors
Genetic and Evolutionary Computation Conference, (Orlando, Florida)
In: Proc. GECCO'99, the Genetic and Evolutionary Computation Conference 1999
BibTeX: Download
, , :
Optimized Software Synthesis for DSP Using Randomization Techniques
In: Technical Report No. 75, 1999
BibTeX: Download
(Techreport)
, , :
Hardware/Software Codesign of Embedded Systems - The SPI Workbench
Int. Workshop on VLSI, (Orlando, Florida)
In: Proc. Int. Workshop on VLSI 1999
BibTeX: Download
, , , , :
Representation of Function Variants for Embedded System Optimization and Synthesis
36th Design Automation Conference (DAC), (New Orleans, 21. Juni 1999 - 25. Juni 1999)
In: Proc. 36th Design Automation Conference 1999
BibTeX: Download
, , , , :
SPI - An Internal Representation for Heterogeneously Specified Embedded Systems
GI/ITG/GMM Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, (Braunschweig)
In: Proc. GI/ITG/GMM Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen 1999
BibTeX: Download
, , , , :
FunState - An Internal Design Representation for Codesign
IEEE/ACM Int. Conf. on Computer-Aided Design, (San Jose, CA, 7. November 1999 - 11. November 1999)
In: Proc. ICCAD'99, the IEEE/ACM Int. Conf. on Computer-Aided Design 1999
BibTeX: Download
, , , , :
Scheduling Hardware/Software Systems Using Symbolic Techniques
7th Int. Workshop on Hardware/Software Co-Design, (Rome, 3. Mai 1999 - 5. Mai 1999)
In: Proc. CODES'99, the 7th Int. Workshop on Hardware/Software Co-Design 1999
BibTeX: Download
, , , , :
Compile-Time Optimization of Dynamic Hardware Reconfigurations
Int. Conf. on Parallel and Distributed Processing Techniques and Applications (PDPTA'99), (Las Vegas, Nevada)
In: Proc. Int. Conf. on Parallel and Distributed Processing Techniques and Applications 1999
BibTeX: Download
, , :
Buffer Memory Optimization in DSP Applications - An Evolutionary Approach
Parallel Problem Solving from Nature (PPSN'98) (Amsterdam)
In: Springer Lecture Notes in Computer Science (LNCS) 1498 1998
BibTeX: Download
, , :
Optimized Software Synthesis for Digital Processing Algorithms - An Evolutionary Approach
In: Technical Report No. 32, Computer Engineering and Communication Networks Lab (TIK), 1998
BibTeX: Download
(anderer)
, , :
Optimized Software Synthesis for Digital Signal Processing Algorithms: An Evolutionary Approach
Workshop on Signal Processing Systems (SiPS), (Boston, 8. Oktober 1998 - 10. Oktober 1998)
In: Proc. of the 1998 Workshop on Signal Processing Systems 1998
BibTeX: Download
, , :
Buffer Memory Optimization in DSP Applications - An Evolutionary Approach
In: Parallel Problem Solving from Nature (PPSN'98), Amsterdam, The Netherlands: Springer-verlag, 1998, S. 292-301
ISBN: 3540650784
BibTeX: Download
, , :
System-Level Synthesis Using Evolutionary Algorithms
In: Design Automation For Embedded Systems 3 (1998), S. 23-58
ISSN: 0929-5585
BibTeX: Download
, , :
Domain-Specific Interface Generation From Dataflow Specifications
6th Int. Workshop on Hardware/Software Co-design, (Seattle, Washington, 15. März 1998 - 18. März 1998)
In: Proc. of Codes/CASHE'98, the 6th Int. Workshop on Hardware/Software Co-design 1998
BibTeX: Download
, :
Interfacing Hardware and Software
Proc. of FPL'98, the Conf. on Field-Programmable Logic and Applications (Tallin)
In: Proc. of FPL'98, the Conf. on Field-Programmable Logic and Applications, Tallin, Estonia, Springer Lecture Notes in Computer Science (LNCS) 1482 1998
BibTeX: Download
, :
Rapid Prototyping of Dataflow Programs on Hardware/Software Architectures
Hawai'i Int. Conf. on Syst. Sci., (Kona, Hawaii, 6. Januar 1998 - 9. Januar 1998)
In: Proc. of HICSS'98, the Hawai'i Int. Conf. on Syst. Sci. 1998
BibTeX: Download
, , :
Combining Multiple Models of Computation for Scheduling and Allocation
Codes/CASHE\'98, the 6th Int. Workshop on Hardware/Software Codesign (Seattle, Washington)
In: Proc. of Codes/CASHE\'98, the 6th Int. Workshop on Hardware/Software Codesign 1998
BibTeX: Download
, , , , :
Representation of Process Mode Correlation for Scheduling
ICCAD - the ACM/IEEE Int. Conf. on CAD (San Jose, CA, 8. November 1998 - 12. November 1998)
In: Proc. of ICCAD - the ACM/IEEE Int. Conf. on CAD 1998
BibTeX: Download
, , , , :
CodeSign: An Embedded System Design Environment
In: IEE Proceedings E: Computers and Digital Techniques 145 (1998), S. 171-180
ISSN: 0143-7062
BibTeX: Download
, , :
SCF - State Machine Controlled Flow Diagrams
In: Swiss Federal Institute of Technology (ETH) Zurich (Hrsg.): Technical Report No. 32, Computer Engineering and Communication Networks Lab (TIK), 1998
BibTeX: Download
(anderer)
, , , , :
Local Divergence of Markov Chains and the Analysis of Iterative Load-Balancing Schemes
IEEE Symposium on Foundations of Computer Science (FOCS) (San Francisco, USA)
In: Proc. 39th IEEE Symposium on Foundations of Computer Science (FOCS) 1998
DOI: 10.1109/SFCS.1998.743520
BibTeX: Download
, , :
Optimizing Dynamic Hardware Reconfigurations
(1998)
BibTeX: Download
(anderer)
, , :
Regular State Machines
Workshop Seminar No. 98341, Tiling for Optimal Resource Utilization, Schloss Dagstuhl (Schloss Dagstuhl)
In: Presented at Workshop Seminar No. 98341, Tiling for Optimal Resource Utilization 1998
BibTeX: Download
, :
3D Exploration of Uniprocessor Schedules for DSP Algorithms
(1998)
BibTeX: Download
(anderer)
, :
An Evolutionary Approach to System-Level Synthesis
Codes/CASHE'97, the 5th Int. Workshop on Hardware/Software Co-design (Braunschweig)
In: Proc. of Codes/CASHE'97, the 5th Int. Workshop on Hardware/Software Co-design, Braunschweig, Germany, pp. 167-171, March 1997 1997
BibTeX: Download
, , :
Efficient Oblivious Parallel Sorting on the MasPar MP-1
30th Hawaii International Conference on System Sciences (HICSS) (Hawaii)
In: Proc of 30th Hawaii International Conference on System Sciences (HICSS) 1997
BibTeX: Download
, :
Proc. IEEE Int. Conference on Application Specific Systems, Architectures, and Processors (ASAP´97)
1997
BibTeX: Download
, , , , , (Hrsg.):
Playing Tetris on Meshes and Multi-Dimensional SHEARSORT
8th International Symposium on Algorithms and Computation (ISAAC)
In: In Proc. of 8th International Symposium on Algorithms and Computation (ISAAC) 1997
BibTeX: Download
, :
Performance Analysis of Mixed Asynchronous-Synchronous Systems
In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 16 (1997), S. 473-484
ISSN: 0278-0070
BibTeX: Download
, , , :
Digitale Hardware/Software-Systeme: Synthese und Optimierung
Springer, 1997
BibTeX: Download
:
Hardware/Software-Codesign: Massgeschneiderte elektronische Systeme. Teil II: HW/SW-Synthese
In: Bulletin SEV/VSE (1997), S. 17-22
BibTeX: Download
:
Partitioning Processor Arrays under Resource Constraints
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 17 (1997), S. 5-20
ISSN: 1387-5485
BibTeX: Download
, , :
Sorting on a massively parallel system using a library of basic primitives: Modeling and experimental results
3rd International Conference on Parallel Processing (Euro-Par) (Passau)
In: Proc 3rd International Conference on Parallel Processing (Euro-Par) 1997
DOI: 10.1007/BFb0002763
BibTeX: Download
, :
Optimal tradeoffs between size and slowdown for universal parallel networks
In: Theory of Computing Systems 30 (1997), S. 627-644
ISSN: 1432-4350
DOI: 10.1007/s002240000071
BibTeX: Download
, , :
Hardware/Software-Codesign: Massgeschneiderte elektronische Systeme.Teil I: HW/SW-Architekturen und Spezifikation
In: Bulletin SEV/VSE (1996), S. 17-23
BibTeX: Download
:
Synthesis and Optimization of Digital Hardware/Software Systems (Habilitationsschrift, 1996)
BibTeX: Download
:
An evolutionary approach to system-level synthesis
1st Online Workshop on Soft Computing (Nagoya, Japan)
In: Proc. of WSC1, the 1st Online Workshop on Soft Computing 1996
BibTeX: Download
, , :
A new approach to solving resource-constrained scheduling problems based on a flow-model
In: Swiss Federal Institute of Technology (ETH) Zurich (Hrsg.): Technical Report No. 17, Computer Engineering and Communication Networks Lab (TIK), 1996
BibTeX: Download
(anderer)
, :
System-level synthesis using evolutionary algorithms
(1996)
BibTeX: Download
(anderer)
, :
Scheduling of partitioned regular algorithms on processor arrays with constrained resources
Int. Conf. on Application-Specific Systems, Architectures, and Processors (ASAP´96), (Chicago, U.S.A.,, 19. August 1996 - 21. August 1996)
In: Proc. Int. Conf. on Application-Specific Systems, Architectures, and Processors (ASAP´96)Int. Conf. on Application-Specific Systems, Architectures, and Processors (ASAP´96)Int. Conf. on Application-Specific Systems, Architectures, and Processors 1996
BibTeX: Download
, , :- Meyer auf der Heide F, Oesterdiekhoff B, Wanka R:
Strongly Adaptive Token Distribution
In: Algorithmica 15 (1996), S. 413-427
ISSN: 0178-4617
DOI: 10.1007/BF01955042
BibTeX: Download
On finding a minimal enclosing parallelogram
11th ACM Symposium on Computational Geometry (Vancouver, British Columbia)
In: In Proc. 11th ACM Symposium on Computational Geometry 1995
BibTeX: Download
, , , :
Modeling and simulation of heterogeneous real-time systems based on a deterministic discrete event model
8th Int. Symposium on System Level Synthesis (ISSS´95), (Cannes, France,)
In: Proc. of the 8th Int. Symposium on System Level Synthesis 1995
DOI: 10.1109/ISSS.1995.520628
BibTeX: Download
, , :
Families of Smith Form Decompositions to simplify Multidimensional Filter Design
IEEE Asilomar Conf. on Signals, Systems and Computers, (Pacific Grove, CA, U.S.A.,)
In: Proc. IEEE Asilomar Conf. on Signals, Systems and Computers 1994
BibTeX: Download
, , :
Automateddesign of two-dimensional rational decimation systems
IEEE Asilomar Conf. on Signals, Systems and Computers, (Pacific Grove, CA, U.S.A.,)
In: Proc. IEEE Asilomar Conf. on Signals, Systems and Computers 1994
BibTeX: Download
, , :
On finding a minimal enclosing parallelogram
4th MSI Workshop on Computational Geometry, (Cornell University, Ithaca, NY, U.S.A.,)
In: ICSI - International Computer Science Institute, Berkeley (Hrsg.): 4th MSI Workshop on Computational Geometry 1994
BibTeX: Download
, , , :
Fast and Feasible Periodic Sorting Networks of Constant Depth
35th IEEE Symposium on Foundations of Computer Science (FOCS)
In: Proceedings of the 35th IEEE Symposium on Foundations of Computer Science (FOCS) 1994
BibTeX: Download
, , , :
Performance analysis and optimization of mixed asynchronous synchronous systems
(1994)
BibTeX: Download
(anderer)
, , , :
Performance Analysis of Mixed Asynchronous-Synchronous Systems
EEE Int. Workshop on VLSI Signal Processing 94 (, 26. Oktober 1994 - 28. Oktober 1994)
In: Proc. of the IEEE Int. Workshop on VLSI Signal Processing 94 1994
BibTeX: Download
, , , :
A Compiler for Application-Specific Processor Arrays (Zugl. Doktorarbeit) (Dissertation, 1993)
BibTeX: Download
:
Partitioning of processor arrays: A piecewise regular approach
In: Integration-The Vlsi Journal (1993), S. 14(3):297-332
ISSN: 0167-9260
DOI: 10.1016/0167-9260(93)90013-3
BibTeX: Download
, :
Minimal communication in massively parallel architectures
PARS Workshop 93 (Dresden, Germany,)
In: Proc. of PARS Workshop 93 1993
BibTeX: Download
, , :
Hierarchical concepts in the design of processor arrays
CompEuro 1992, (The Hague, The Netherlands,, 4. Mai 1992 - 8. Mai 1992)
In: Proc. CompEuro 1992 1992
DOI: 10.1109/CMPEUR.1992.218504
BibTeX: Download
, , , :
The concepts of COMPAR: A compiler for massive parallel architectures
International Symposium on Circuits and Systems (ISCAS´92), (San Diego, CA, U.S.A.,)
In: Proc. International Symposium on Circuits and Systems 1992
BibTeX: Download
, , :
A transformative approach to the partitioning of processor arrays
Int. Conf. on Application Specific Array Processors (ASAP´92), (Berkeley, CA, U.S.A.,)
In: Proc. Int. Conf. on Application Specific Array Processors 1992
BibTeX: Download
, :
Control generation in the design of processor array
In: Parallel Processing on VLSI Arrays, Kluwer Academic Publishers, 1992
BibTeX: Download
, :
Control generation in the design of processor arrays
In: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 3 (1991), S. 77-92
ISSN: 1387-5485
DOI: 10.1007/BF00927836
BibTeX: Download
, :
Uniform design of parallel programs for DSP
IEEE Int. Symp. Circuits and Systems (ISCAS) (Singapore)
In: In Proc. IEEE Int. Symp. Circuits and Systems (ISCAS) 1991
BibTeX: Download
, :
Design of configurable processor arrays
IEEE Int. Symp. Circuits and Systems
In: Proc. IEEE Int. Symp. Circuits and Systems 1990
BibTeX: Download
, , :
Systematic design concepts for signal processing arrays (invited paper)
In: Frequenz 44 (1990), S. 122-132
ISSN: 0016-1136
DOI: 10.1515/FREQ.1990.44.3-4.122
BibTeX: Download
, :